HierarchyFilesModulesSignalsTasksFunctionsHelp
CIKORSTW

Signals index

C
 Comment : IntervalTimer_TB : reg
 Comment : KeySummer_TB : reg
I
 iC$AddKey : KeySummer : input
Connects up to:MathLock:KeySummer:wC$AddKey , KeySummer_TB:DUT:iC$AddKey 
 iC$AddKey : KeySummer_TB : reg
Connects down to:KeySummer:DUT:iC$AddKey 
 iC$ClearSum : KeySummer : input
Connects up to:MathLock:KeySummer:wC$ClearSum , KeySummer_TB:DUT:iC$ClearSum 
 iC$ClearSum : KeySummer_TB : reg
Connects down to:KeySummer:DUT:iC$ClearSum 
 iC$EnableTimer : IntervalTimer : input
Connects up to:IntervalTimer_TB:DUT:iC$EnableTimer , MathLock:IntervalTimer:wC$EnableTimer 
 iC$EnableTimer : IntervalTimer_TB : reg
Connects down to:IntervalTimer:DUT:iC$EnableTimer 
 iC$InitializeTimer : IntervalTimer : input
Connects up to:IntervalTimer_TB:DUT:iC$InitializeTimer , MathLock:IntervalTimer:wC$InitializeTimer 
 iC$InitializeTimer : IntervalTimer_TB : reg
Connects down to:IntervalTimer:DUT:iC$InitializeTimer 
 iD$KeyPad : KeyPadEncoder : input
Connects up to:KeyPadEncoder_TB:DUT:iD$KeyPad , MathLock:KeyPadEncoder:r$Keypad_SYNC 
 iD$KeyPad : KeyPadEncoder_TB : reg
Connects down to:KeyPadEncoder:DUT:iD$KeyPad 
 iD$Keypad : MathLock : input
Connects up to:MathLock_TESTBENCH:DUT:iD$Keypad 
 iD$Keypad : MathLock_TESTBENCH : reg
Connects down to:MathLock:DUT:iD$Keypad 
 iD$KeyValue : KeySummer : input
Connects up to:MathLock:KeySummer:wD$KeyValue , KeySummer_TB:DUT:iD$KeyValue 
 iD$KeyValue : KeySummer_TB : reg
Connects down to:KeySummer:DUT:iD$KeyValue 
 iG$MasterClock : Controller : input (used in @posedge)
Connects up to:Controller_TB:DUT:iG$MasterClock , MathLock:Controller:iG$Oscillator 
 iG$MasterClock : Controller_TB : reg (used in @posedge)
Connects down to:Controller:DUT:iG$MasterClock 
 iG$MasterClock : IntervalTimer : input (used in @posedge)
Connects up to:IntervalTimer_TB:DUT:iG$MasterClock , MathLock:IntervalTimer:iG$Oscillator 
 iG$MasterClock : IntervalTimer_TB : reg (used in @posedge)
Connects down to:IntervalTimer:DUT:iG$MasterClock 
 iG$MasterClock : KeySummer : input (used in @posedge)
Connects up to:MathLock:KeySummer:iG$Oscillator , KeySummer_TB:DUT:iG$MasterClock 
 iG$MasterClock : KeySummer_TB : reg (used in @posedge)
Connects down to:KeySummer:DUT:iG$MasterClock 
 iG$MasterReset : Controller : input (used in @posedge)
Connects up to:Controller_TB:DUT:iG$MasterReset , MathLock:Controller:iG$PowerOnReset 
 iG$MasterReset : Controller_TB : reg (used in @posedge)
Connects down to:Controller:DUT:iG$MasterReset 
 iG$MasterReset : IntervalTimer : input (used in @posedge)
Connects up to:IntervalTimer_TB:DUT:iG$MasterReset , MathLock:IntervalTimer:iG$PowerOnReset 
 iG$MasterReset : IntervalTimer_TB : reg (used in @posedge)
Connects down to:IntervalTimer:DUT:iG$MasterReset 
 iG$MasterReset : KeySummer : input (used in @posedge)
Connects up to:MathLock:KeySummer:iG$PowerOnReset , KeySummer_TB:DUT:iG$MasterReset 
 iG$MasterReset : KeySummer_TB : reg (used in @posedge)
Connects down to:KeySummer:DUT:iG$MasterReset 
 iG$Oscillator : MathLock : input (used in @posedge)
Connects down to:Controller:Controller:iG$MasterClock , KeySummer:KeySummer:iG$MasterClock , IntervalTimer:IntervalTimer:iG$MasterClock 
Connects up to:MathLock_TESTBENCH:DUT:iG$Oscillator 
 iG$Oscillator : MathLock_TESTBENCH : reg (used in @posedge)
Connects down to:MathLock:DUT:iG$Oscillator 
 iG$PowerOnReset : MathLock : input (used in @posedge)
Connects down to:Controller:Controller:iG$MasterReset , KeySummer:KeySummer:iG$MasterReset , IntervalTimer:IntervalTimer:iG$MasterReset 
Connects up to:MathLock_TESTBENCH:DUT:iG$PowerOnReset 
 iG$PowerOnReset : MathLock_TESTBENCH : reg (used in @posedge)
Connects down to:MathLock:DUT:iG$PowerOnReset 
 iS$IntervalIsComplete : Controller : input
Connects up to:Controller_TB:DUT:iS$IntervalIsComplete , MathLock:Controller:wS$IntervalIsComplete 
 iS$IntervalIsComplete : Controller_TB : reg
Connects down to:Controller:DUT:iS$IntervalIsComplete 
 iS$KeyIsPressed : Controller : input
Connects up to:Controller_TB:DUT:iS$KeyIsPressed , MathLock:Controller:wS$KeyIsPressed 
 iS$KeyIsPressed : Controller_TB : reg
Connects down to:Controller:DUT:iS$KeyIsPressed 
 iS$KeyPressingIsFinished : Controller : input
Connects up to:Controller_TB:DUT:iS$KeyPressingIsFinished , MathLock:Controller:wS$KeyPressingIsFinished 
 iS$KeyPressingIsFinished : Controller_TB : reg
Connects down to:Controller:DUT:iS$KeyPressingIsFinished 
 iS$SumIsCorrect : Controller : input
Connects up to:Controller_TB:DUT:iS$SumIsCorrect , MathLock:Controller:wS$SumIsCorrect 
 iS$SumIsCorrect : Controller_TB : reg
Connects down to:Controller:DUT:iS$SumIsCorrect 
K
 k : KeyPadEncoder_TB : integer
 Key : MathLock_TESTBENCH : reg
 KeyPadStatus : Controller_TB : reg
O
 oC$AddKey : Controller : output reg
Connects up to:Controller_TB:DUT:oC$AddKey , MathLock:Controller:wC$AddKey 
 oC$AddKey : Controller_TB : wire
Connects down to:Controller:DUT:oC$AddKey 
 oC$ClearSum : Controller : output reg
Connects up to:Controller_TB:DUT:oC$ClearSum , MathLock:Controller:wC$ClearSum 
 oC$ClearSum : Controller_TB : wire
Connects down to:Controller:DUT:oC$ClearSum 
 oC$EnableTimer : Controller : output reg
Connects up to:Controller_TB:DUT:oC$EnableTimer , MathLock:Controller:wC$EnableTimer 
 oC$EnableTimer : Controller_TB : wire
Connects down to:Controller:DUT:oC$EnableTimer 
 oC$InitializeTimer : Controller : output reg
Connects up to:Controller_TB:DUT:oC$InitializeTimer , MathLock:Controller:wC$InitializeTimer 
 oC$InitializeTimer : Controller_TB : wire
Connects down to:Controller:DUT:oC$InitializeTimer 
 oD$IntervalTimer : IntervalTimer : output reg
Connects up to:IntervalTimer_TB:DUT:oD$IntervalTimer 
 oD$IntervalTimer : IntervalTimer_TB : wire
Connects down to:IntervalTimer:DUT:oD$IntervalTimer 
 oD$KeysPressed : KeySummer : output reg
Connects up to:KeySummer_TB:DUT:oD$KeysPressed 
 oD$KeysPressed : KeySummer_TB : wire
Connects down to:KeySummer:DUT:oD$KeysPressed 
 oD$KeySum : KeySummer : output reg
Connects up to:KeySummer_TB:DUT:oD$KeySum 
 oD$KeySum : KeySummer_TB : wire
Connects down to:KeySummer:DUT:oD$KeySum 
 oD$KeyValue : KeyPadEncoder : output reg
Connects up to:KeyPadEncoder_TB:DUT:oD$KeyValue , MathLock:KeyPadEncoder:wD$KeyValue 
 oD$KeyValue : KeyPadEncoder_TB : wire
Connects down to:KeyPadEncoder:DUT:oD$KeyValue 
 oE$ActivateFailLED : Controller : output reg
Connects up to:Controller_TB:DUT:oE$ActivateFailLED , MathLock:Controller:oE$ActivateFailLED 
 oE$ActivateFailLED : Controller_TB : wire
Connects down to:Controller:DUT:oE$ActivateFailLED 
 oE$ActivateFailLED : MathLock : output
Connects down to:Controller:Controller:oE$ActivateFailLED 
Connects up to:MathLock_TESTBENCH:DUT:oE$ActivateFailLED 
 oE$ActivateFailLED : MathLock_TESTBENCH : wire
Connects down to:MathLock:DUT:oE$ActivateFailLED 
 oE$ActivatePassLED : Controller : output reg
Connects up to:Controller_TB:DUT:oE$ActivatePassLED , MathLock:Controller:oE$ActivatePassLED 
 oE$ActivatePassLED : Controller_TB : wire
Connects down to:Controller:DUT:oE$ActivatePassLED 
 oE$ActivatePassLED : MathLock : output
Connects down to:Controller:Controller:oE$ActivatePassLED 
Connects up to:MathLock_TESTBENCH:DUT:oE$ActivatePassLED 
 oE$ActivatePassLED : MathLock_TESTBENCH : wire
Connects down to:MathLock:DUT:oE$ActivatePassLED 
 oE$ActivateSolenoid : Controller : output reg
Connects up to:Controller_TB:DUT:oE$ActivateSolenoid , MathLock:Controller:oE$OpenLock 
 oE$ActivateSolenoid : Controller_TB : wire
Connects down to:Controller:DUT:oE$ActivateSolenoid 
 oE$OpenLock : MathLock : output
Connects down to:Controller:Controller:oE$ActivateSolenoid 
Connects up to:MathLock_TESTBENCH:DUT:oE$OpenLock 
 oE$OpenLock : MathLock_TESTBENCH : wire
Connects down to:MathLock:DUT:oE$OpenLock 
 oS$IntervalIsComplete : IntervalTimer : output
Connects up to:IntervalTimer_TB:DUT:oS$IntervalIsComplete , MathLock:IntervalTimer:wS$IntervalIsComplete 
 oS$IntervalIsComplete : IntervalTimer_TB : wire
Connects down to:IntervalTimer:DUT:oS$IntervalIsComplete 
 oS$KeyIsPressed : KeyPadEncoder : output reg
Connects up to:KeyPadEncoder_TB:DUT:oS$KeyIsPressed , MathLock:KeyPadEncoder:wS$KeyIsPressed 
 oS$KeyIsPressed : KeyPadEncoder_TB : wire
Connects down to:KeyPadEncoder:DUT:oS$KeyIsPressed 
 oS$KeyPressingIsFinished : KeySummer : output
Connects up to:MathLock:KeySummer:wS$KeyPressingIsFinished , KeySummer_TB:DUT:oS$KeyPressingIsFinished 
 oS$KeyPressingIsFinished : KeySummer_TB : wire
Connects down to:KeySummer:DUT:oS$KeyPressingIsFinished 
 oS$SumIsCorrect : KeySummer : output
Connects up to:MathLock:KeySummer:wS$SumIsCorrect , KeySummer_TB:DUT:oS$SumIsCorrect 
 oS$SumIsCorrect : KeySummer_TB : wire
Connects down to:KeySummer:DUT:oS$SumIsCorrect 
CIKORSTW
Next PageHierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Jan 16 14:38:00 2003

Verilog converted to html by v2html 7.30 (written by Costas Calamvokis).Help