vti_encoding:SR|utf8-nl vti_timelastmodified:TR|16 Jan 2003 19:38:00 -0000 vti_extenderversion:SR|5.0.2.4330 vti_author:SR|HUDSON-1\\hudson vti_modifiedby:SR|HUDSON-1\\hudson vti_timecreated:TR|16 Jan 2003 19:38:00 -0000 vti_cacheddtm:TX|20 Aug 2003 18:04:14 -0000 vti_filesize:IR|27513 vti_cachedtitle:SR|controller.v vti_cachedbodystyle:SR| vti_cachedlinkinfo:VX|Q|v2html.css H|hierarchy.html H|hierarchy-f.html H|hierarchy-m.html H|hierarchy-s.html H|hierarchy-t.html H|hierarchy-fn.html H|http://www.burbleland.com/v2html/help_7_30.html K|controller_TB.v.html S|v2html-up.gif K|mathlock.v.html S|v2html-up.gif K|hierarchy-m.html S|v2html-i.gif K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller_TB.v.html K|controller_TB.v.html K|controller_TB.v.html K|controller_TB.v.html K|controller_TB.v.html K|controller_TB.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html K|controller.v.html H|hierarchy.html H|hierarchy-f.html H|hierarchy-m.html H|hierarchy-s.html H|hierarchy-t.html H|hierarchy-fn.html H|http://www.burbleland.com/v2html/help_7_30.html H|http://www.burbleland.com/v2html/v2html.html H|mailto:v2html730@burbleland.com H|http://www.burbleland.com/v2html/help_7_30.html vti_cachedsvcrellinks:VX|FQUS|files/reference_design/verilog_as_html/v2html.css FHUS|files/reference_design/verilog_as_html/hierarchy.html FHUS|files/reference_design/verilog_as_html/hierarchy-f.html FHUS|files/reference_design/verilog_as_html/hierarchy-m.html FHUS|files/reference_design/verilog_as_html/hierarchy-s.html FHUS|files/reference_design/verilog_as_html/hierarchy-t.html FHUS|files/reference_design/verilog_as_html/hierarchy-fn.html NHHS|http://www.burbleland.com/v2html/help_7_30.html FHUS|files/reference_design/verilog_as_html/controller_TB.v.html FSUS|files/reference_design/verilog_as_html/v2html-up.gif FHUS|files/reference_design/verilog_as_html/mathlock.v.html FSUS|files/reference_design/verilog_as_html/v2html-up.gif FHUS|files/reference_design/verilog_as_html/hierarchy-m.html FSUS|files/reference_design/verilog_as_html/v2html-i.gif FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FHUS|files/reference_design/verilog_as_html/controller_TB.v.html FHUS|files/reference_design/verilog_as_html/controller_TB.v.html FHUS|files/reference_design/verilog_as_html/controller_TB.v.html FHUS|files/reference_design/verilog_as_html/controller_TB.v.html FHUS|files/reference_design/verilog_as_html/controller_TB.v.html FHUS|files/reference_design/verilog_as_html/controller_TB.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FKUS|files/reference_design/verilog_as_html/controller.v.html FHUS|files/reference_design/verilog_as_html/hierarchy.html FHUS|files/reference_design/verilog_as_html/hierarchy-f.html FHUS|files/reference_design/verilog_as_html/hierarchy-m.html FHUS|files/reference_design/verilog_as_html/hierarchy-s.html FHUS|files/reference_design/verilog_as_html/hierarchy-t.html FHUS|files/reference_design/verilog_as_html/hierarchy-fn.html NHHS|http://www.burbleland.com/v2html/help_7_30.html NHHS|http://www.burbleland.com/v2html/v2html.html NHUS|mailto:v2html730@burbleland.com NHHS|http://www.burbleland.com/v2html/help_7_30.html vti_cachedneedsrewrite:BR|true vti_cachedhasbots:BR|false vti_cachedhastheme:BR|false vti_cachedhasborder:BR|false vti_charset:SR|windows-1252 vti_title:SR|controller.v vti_lineageid:SR|{9BD828C5-B345-4BB6-819D-563619BC510C} vti_backlinkinfo:VX|files/reference_design/verilog_as_html/hierarchy-m.html files/reference_design/verilog_as_html/mathlock.v.html files/reference_design/verilog_as_html/controller.v.html files/reference_design/verilog_as_html/hierarchy-f.html files/reference_design/verilog_as_html/controller_TB.v.html files/reference_design/verilog_as_html/hierarchy-s.html files/reference_design/verilog_as_html/hierarchy-s.p2.html files/reference_design/verilog_as_html/hierarchy.html