module multiplier(A, B, SEGMENTS) ; // 4-bit adder SN74LS83 input [1:0] A ; input [1:0] B ; output [6:0] SEGMENTS ; //DISPLAY = {G,F,E,D,C,B,A} of a 7-segment display reg SEGMENTS; wire [3:0] product; assign product = A*B; always case (product) 0: SEGMENTS <= 7'B0111111; 1: SEGMENTS <= 7'B0000110; 2: SEGMENTS <= 7'B1011011; 3: SEGMENTS <= 7'B1001111; 4: SEGMENTS <= 7'B1101100; 5: SEGMENTS <= 7'B1101101; 6: SEGMENTS <= 7'B1111101; 7: SEGMENTS <= 7'B0000111; 8: SEGMENTS <= 7'B1111111; 9: SEGMENTS <= 7'B1011111; default: SEGMENTS <= 7'B000000; endcase endmodule