// // Written by Synplify // Tue Oct 31 12:53:02 2000 // // Source file index table: // Object locations will have the form : // file 0 "noname" // file 1 "\c:\isptools\synplify\lib\cpld\lattice.v " // file 2 "\c:\isptools\ispsys\generic\verilog\synplify\generic.v " // file 3 "\c:\ec333_fall2000 digital systems\labs\lab8\counter.isp\bcd7seg.v " // file 4 "\c:\ec333_fall2000 digital systems\labs\lab8\counter.isp\counter.v " // file 5 "\c:\ec333_fall2000 digital systems\labs\lab8\counter.isp\topcounter.v " `timescale 100 ps/100 ps module DFF_FD1E ( Q, D, CP, EN, R ); output Q; input D; input CP; input EN; input R; wire Q ; wire D ; wire CP ; wire EN ; wire R ; wire true ; wire false ; wire Q_4 ; assign true = 1'b1; assign false = 1'b0; assign #(1) Q_4 = (!EN & Q ) | (EN & D ) | (Q & D ); reg r_e_g0; // dffr always @(posedge CP or posedge R ) r_e_g0 = #1 R ? 1'b0 : Q_4 ; assign Q = r_e_g0; endmodule /* DFF_FD1E */ module FD11E ( Q0, D0, CLK, EN ); output Q0; input D0; input CLK; input EN; wire Q0 ; wire D0 ; wire CLK ; wire EN ; wire false ; wire true ; DFF_FD1E blk2 ( .Q(Q0), .D(D0), .CP(CLK), .EN(EN), .R(false) ); assign true = 1'b1; assign false = 1'b0; endmodule /* FD11E */ module DFF_FD ( Q, D, CP, R ); output Q; input D; input CP; input R; wire Q ; wire D ; wire CP ; wire R ; wire true ; wire false ; assign true = 1'b1; assign false = 1'b0; reg r_e_g1; // dffr always @(posedge CP or posedge R ) r_e_g1 = #1 R ? 1'b0 : D ; assign Q = r_e_g1; endmodule /* DFF_FD */ module FD11 ( Q0, D0, CLK ); output Q0; input D0; input CLK; wire Q0 ; wire D0 ; wire CLK ; wire false ; wire true ; DFF_FD blk2 ( .Q(Q0), .D(D0), .CP(CLK), .R(false) ); assign true = 1'b1; assign false = 1'b0; endmodule /* FD11 */ module XOR2 ( Z0, A0, A1 ); output Z0; input A0; input A1; wire Z0 ; wire A0 ; wire A1 ; wire true ; wire false ; assign true = 1'b1; assign false = 1'b0; assign #(1) Z0 = A0 ^ A1 ; endmodule /* XOR2 */ module AND2 ( Z0, A0, A1 ); output Z0; input A0; input A1; wire Z0 ; wire A0 ; wire A1 ; wire true ; wire false ; assign true = 1'b1; assign false = 1'b0; assign #(1) Z0 = A0 & A1 ; endmodule /* AND2 */ module XOUTPUT ( XO0, A0 ); output XO0; input A0; wire XO0 ; wire A0 ; wire true ; wire false ; assign #(1) XO0 = A0; assign true = 1'b1; assign false = 1'b0; endmodule /* XOUTPUT */ module OB11 ( XO0, A0 ); output XO0; input A0; wire XO0 ; wire A0 ; wire true ; wire false ; XOUTPUT \\$1I42 ( .XO0(XO0), .A0(A0) ); assign true = 1'b1; assign false = 1'b0; endmodule /* OB11 */ module XINPUT ( Z0, XI0 ); output Z0; input XI0; wire Z0 ; wire XI0 ; wire true ; wire false ; assign #(1) Z0 = XI0; assign true = 1'b1; assign false = 1'b0; endmodule /* XINPUT */ module IB11 ( Z0, XI0 ); output Z0; input XI0; wire Z0 ; wire XI0 ; wire true ; wire false ; XINPUT \\$1I45 ( .Z0(Z0), .XI0(XI0) ); assign true = 1'b1; assign false = 1'b0; endmodule /* IB11 */ module INV ( ZN0, A0 ); output ZN0; input A0; wire ZN0 ; wire A0 ; wire true ; wire false ; assign #(1) ZN0 = ~ A0; assign true = 1'b1; assign false = 1'b0; endmodule /* INV */ module OR2 ( Z0, A0, A1 ); output Z0; input A0; input A1; wire Z0 ; wire A0 ; wire A1 ; wire true ; wire false ; assign true = 1'b1; assign false = 1'b0; assign #(1) Z0 = A0 | A1 ; endmodule /* OR2 */ module MUX2 ( Z0, A0, A1, S0 ); output Z0; input A0; input A1; input S0; wire Z0 ; wire A0 ; wire A1 ; wire S0 ; wire true ; wire false ; wire \$1N8 ; wire \$1N22 ; wire \$1N6 ; AND2 \\$1I25 ( .Z0(\$1N6 ), .A0(A0), .A1(\$1N22 ) ); AND2 \\$1I31 ( .Z0(\$1N8 ), .A0(A1), .A1(S0) ); OR2 \\$1I35 ( .Z0(Z0), .A0(\$1N6 ), .A1(\$1N8 ) ); INV \\$1I38 ( .ZN0(\$1N22 ), .A0(S0) ); assign true = 1'b1; assign false = 1'b0; endmodule /* MUX2 */ module topcounter ( FIRST, SECOND, THIRD, FOURTH, CLOCK ); output [6:0] FIRST; output [6:0] SECOND; output [6:0] THIRD; output [6:0] FOURTH; input CLOCK; wire [6:0] FIRST; wire [6:0] SECOND; wire [6:0] THIRD; wire [6:0] FOURTH; wire CLOCK ; wire [3:0] ONES; wire [3:0] TENS; wire [3:0] HUNDREDS; wire [3:0] THOUSANDS; wire [3:0] \COUNTER1.ONES_1 ; wire [3:0] \COUNTER1.un1_TENS_2 ; wire [3:0] \COUNTER1.un1_HUNDREDS_1 ; wire [3:1] \COUNTER1.ONES_5 ; wire [3:0] HUNDREDS_i_0; wire [3:0] THOUSANDS_i_0; wire [3:0] TENS_i_0; wire [3:0] ONES_i_0; wire [6:0] FIRST_c; wire [6:0] SECOND_c; wire [6:0] THIRD_c; wire [6:0] FOURTH_c; wire VCC ; wire \COUNTER1.TENS7 ; wire \COUNTER1.THOUSANDS_c1 ; wire N_10 ; wire N_14 ; wire N_17 ; wire N_23 ; wire N_27 ; wire N_30 ; wire N_36 ; wire N_40 ; wire N_43 ; wire N_61 ; wire N_62 ; wire N_63 ; wire \COUNTER1.THOUSANDS7 ; wire \BCD4.N_72 ; wire \BCD4.N_81 ; wire \BCD4.N_82 ; wire \BCD4.N_83 ; wire \BCD4.N_85 ; wire \BCD4.N_86 ; wire \BCD4.N_87 ; wire \BCD4.N_90 ; wire \BCD4.N_91 ; wire \BCD3.N_72 ; wire \BCD3.N_81 ; wire \BCD3.N_82 ; wire \BCD3.N_83 ; wire \BCD3.N_85 ; wire \BCD3.N_86 ; wire \BCD3.N_87 ; wire \BCD3.N_90 ; wire \BCD3.N_91 ; wire \BCD2.N_72 ; wire \BCD2.N_81 ; wire \BCD2.N_82 ; wire \BCD2.N_83 ; wire \BCD2.N_85 ; wire \BCD2.N_86 ; wire \BCD2.N_87 ; wire \BCD2.N_90 ; wire \BCD2.N_91 ; wire \BCD1.N_72 ; wire \BCD1.N_81 ; wire \BCD1.N_82 ; wire \BCD1.N_83 ; wire \BCD1.N_85 ; wire \BCD1.N_86 ; wire \BCD1.N_87 ; wire \BCD1.N_90 ; wire \BCD1.N_91 ; wire \COUNTER1.TENS7_2 ; wire \BCD1.N_77 ; wire \BCD1.N_96 ; wire \BCD1.N_84 ; wire \BCD1.N_92 ; wire \BCD1.N_74 ; wire \BCD1.N_78 ; wire \BCD1.N_76 ; wire \BCD1.N_89 ; wire \BCD1.N_95 ; wire \COUNTER1.THOUSANDS_c2 ; wire \COUNTER1.THOUSANDS_n3 ; wire \COUNTER1.THOUSANDS_n1 ; wire \COUNTER1.THOUSANDS_n2 ; wire \COUNTER1.un1_TENS_1_2 ; wire \COUNTER1.un1_TENS_1 ; wire N_67 ; wire \BCD2.N_77 ; wire \BCD2.N_96 ; wire \BCD2.N_84 ; wire \BCD2.N_92 ; wire \BCD2.N_74 ; wire \BCD2.N_78 ; wire \BCD2.N_76 ; wire \BCD2.N_89 ; wire \BCD2.N_95 ; wire \BCD4.N_77 ; wire \BCD4.N_96 ; wire \BCD4.N_84 ; wire \BCD4.N_92 ; wire \BCD4.N_74 ; wire \BCD4.N_78 ; wire \BCD4.N_89 ; wire \BCD4.N_73 ; wire \BCD4.N_95 ; wire \BCD3.N_77 ; wire \BCD3.N_76 ; wire \BCD3.N_89 ; wire \BCD3.N_95 ; wire \BCD3.N_73 ; wire \BCD3.N_92 ; wire \BCD3.N_78 ; wire \BCD3.N_74 ; wire \BCD3.N_84 ; wire \BCD3.N_96 ; wire \COUNTER1.THOUSANDS_c1_i_0 ; wire \COUNTER1.un1_TENS_1_i_0 ; wire \COUNTER1.TENS7_i ; wire \COUNTER1.un1_TENS_1_2_i_0 ; wire \COUNTER1.TENS7_2_i_0 ; wire CLOCK_c ; wire \BCD3.N_92_i ; wire N_76 ; wire \BCD3.N_86_i ; wire \BCD3.N_89_i ; wire N_77 ; wire N_80 ; wire N_81 ; wire \BCD3.N_81_i ; wire \BCD3.N_84_i ; wire \BCD4.N_92_i ; wire N_85 ; wire \BCD4.N_81_i ; wire \BCD4.N_84_i ; wire \BCD4.N_86_i ; wire \BCD4.N_89_i ; wire N_87 ; wire N_91 ; wire N_67_i ; wire \COUNTER1.THOUSANDS7_i ; wire \BCD2.N_92_i ; wire N_96 ; wire \BCD2.N_81_i ; wire \BCD2.N_84_i ; wire \BCD2.N_86_i ; wire \BCD2.N_89_i ; wire N_98 ; wire N_101 ; wire N_102 ; wire \BCD1.N_92_i ; wire N_103 ; wire \BCD1.N_81_i ; wire \BCD1.N_84_i ; wire \BCD1.N_86_i ; wire \BCD1.N_89_i ; wire N_105 ; wire N_108 ; wire N_109 ; wire \BCD1.N_85_i ; wire \BCD1.N_90_i ; wire \BCD1.N_91_i ; wire N_110 ; wire \BCD1.N_87_i ; wire N_111 ; wire \BCD1.N_82_i ; wire \BCD1.N_83_i ; wire \BCD2.N_85_i ; wire \BCD2.N_90_i ; wire \BCD2.N_91_i ; wire N_113 ; wire \BCD2.N_87_i ; wire N_114 ; wire \BCD2.N_82_i ; wire \BCD2.N_83_i ; wire \BCD3.N_85_i ; wire \BCD3.N_90_i ; wire \BCD3.N_91_i ; wire N_116 ; wire \BCD3.N_87_i ; wire N_117 ; wire \BCD3.N_82_i ; wire \BCD3.N_83_i ; wire \BCD4.N_85_i ; wire \BCD4.N_90_i ; wire \BCD4.N_91_i ; wire N_119 ; wire \BCD4.N_87_i ; wire N_120 ; wire \BCD4.N_82_i ; wire \BCD4.N_83_i ; wire \BCD4.N_65_i_0_c ; wire \BCD4.N_67_i_0_c ; wire \BCD3.N_65_i_0_c ; wire \BCD3.N_67_i_0_c ; wire \BCD2.N_65_i_0_c ; wire \BCD2.N_67_i_0_c ; wire \BCD1.N_65_i_0_c ; wire \BCD1.N_67_i_0_c ; wire \BCD3.N_74_i ; wire \BCD3.N_73_i ; wire \BCD4.N_73_i ; wire \BCD4.N_74_i ; wire N_61_i_0 ; wire \BCD2.N_74_i ; wire \BCD1.N_74_i ; wire \BCD1.N_72_i ; wire \BCD2.N_72_i ; wire \BCD3.N_72_i ; wire \BCD4.N_72_i ; wire N_58_i ; wire N_56_i ; wire N_54_i ; wire N_52_i ; wire N_141 ; wire N_142 ; wire N_143 ; wire N_144 ; wire N_145 ; wire N_146 ; wire N_147 ; wire N_148 ; wire N_149 ; wire N_150 ; wire N_151 ; wire N_152 ; wire N_153 ; wire N_154 ; wire N_155 ; wire N_156 ; wire N_157 ; wire N_158 ; wire N_159 ; wire GND ; //@1:1 assign VCC = 1'b1; // @4:13 FD11E \COUNTER1.THOUSANDS[3] ( .Q0(THOUSANDS[3]), .D0(\COUNTER1.THOUSANDS_n3 ), .CLK(CLOCK_c), .EN(\COUNTER1.THOUSANDS7 ) ); // @4:13 FD11 \COUNTER1.ONES[0] ( .Q0(ONES[0]), .D0(\COUNTER1.ONES_1 [0]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.ONES[1] ( .Q0(ONES[1]), .D0(\COUNTER1.ONES_5 [1]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.ONES[2] ( .Q0(ONES[2]), .D0(\COUNTER1.ONES_1 [2]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.ONES[3] ( .Q0(ONES[3]), .D0(\COUNTER1.ONES_5 [3]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.TENS[0] ( .Q0(TENS[0]), .D0(N_52_i), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.TENS[1] ( .Q0(TENS[1]), .D0(\COUNTER1.un1_TENS_2 [1]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.TENS[2] ( .Q0(TENS[2]), .D0(\COUNTER1.un1_TENS_2 [2]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.TENS[3] ( .Q0(TENS[3]), .D0(N_54_i), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.HUNDREDS[0] ( .Q0(HUNDREDS[0]), .D0(N_56_i), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.HUNDREDS[1] ( .Q0(HUNDREDS[1]), .D0(\COUNTER1.un1_HUNDREDS_1 [1]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.HUNDREDS[2] ( .Q0(HUNDREDS[2]), .D0(\COUNTER1.un1_HUNDREDS_1 [2]), .CLK(CLOCK_c) ); // @4:13 FD11 \COUNTER1.HUNDREDS[3] ( .Q0(HUNDREDS[3]), .D0(N_58_i), .CLK(CLOCK_c) ); // @4:13 FD11E \COUNTER1.THOUSANDS[0] ( .Q0(THOUSANDS[0]), .D0(THOUSANDS_i_0[0]), .CLK(CLOCK_c), .EN(\COUNTER1.THOUSANDS7 ) ); // @4:13 FD11E \COUNTER1.THOUSANDS[1] ( .Q0(THOUSANDS[1]), .D0(\COUNTER1.THOUSANDS_n1 ), .CLK(CLOCK_c), .EN(\COUNTER1.THOUSANDS7 ) ); // @4:13 FD11E \COUNTER1.THOUSANDS[2] ( .Q0(THOUSANDS[2]), .D0(\COUNTER1.THOUSANDS_n2 ), .CLK(CLOCK_c), .EN(\COUNTER1.THOUSANDS7 ) ); XOR2 I_7 ( .Z0(\COUNTER1.un1_TENS_2 [0]), .A0(TENS[0]), .A1(N_62) ); AND2 I_8 ( .Z0(N_10), .A0(TENS[0]), .A1(N_62) ); XOR2 I_9 ( .Z0(\COUNTER1.un1_TENS_2 [1]), .A0(TENS[1]), .A1(N_10) ); AND2 I_10 ( .Z0(N_14), .A0(TENS[1]), .A1(N_10) ); XOR2 I_11 ( .Z0(\COUNTER1.un1_TENS_2 [2]), .A0(TENS[2]), .A1(N_14) ); AND2 I_12 ( .Z0(N_17), .A0(TENS[2]), .A1(N_14) ); XOR2 I_13 ( .Z0(\COUNTER1.un1_TENS_2 [3]), .A0(TENS[3]), .A1(N_17) ); XOR2 I_19 ( .Z0(\COUNTER1.un1_HUNDREDS_1 [0]), .A0(HUNDREDS[0]), .A1(N_63) ); AND2 I_20 ( .Z0(N_23), .A0(HUNDREDS[0]), .A1(N_63) ); XOR2 I_21 ( .Z0(\COUNTER1.un1_HUNDREDS_1 [1]), .A0(HUNDREDS[1]), .A1(N_23) ); AND2 I_22 ( .Z0(N_27), .A0(HUNDREDS[1]), .A1(N_23) ); XOR2 I_23 ( .Z0(\COUNTER1.un1_HUNDREDS_1 [2]), .A0(HUNDREDS[2]), .A1(N_27) ); AND2 I_24 ( .Z0(N_30), .A0(HUNDREDS[2]), .A1(N_27) ); XOR2 I_25 ( .Z0(\COUNTER1.un1_HUNDREDS_1 [3]), .A0(HUNDREDS[3]), .A1(N_30) ); XOR2 I_31 ( .Z0(\COUNTER1.ONES_1 [0]), .A0(ONES[0]), .A1(VCC) ); AND2 I_32 ( .Z0(N_36), .A0(ONES[0]), .A1(VCC) ); XOR2 I_33 ( .Z0(\COUNTER1.ONES_1 [1]), .A0(ONES[1]), .A1(N_36) ); AND2 I_34 ( .Z0(N_40), .A0(ONES[1]), .A1(N_36) ); XOR2 I_35 ( .Z0(\COUNTER1.ONES_1 [2]), .A0(ONES[2]), .A1(N_40) ); AND2 I_36 ( .Z0(N_43), .A0(ONES[2]), .A1(N_40) ); XOR2 I_37 ( .Z0(\COUNTER1.ONES_1 [3]), .A0(ONES[3]), .A1(N_43) ); // @3:7 OB11 \FIRST_Z[0] ( .XO0(FIRST[0]), .A0(FIRST_c[0]) ); // @3:7 OB11 \FIRST_Z[1] ( .XO0(FIRST[1]), .A0(\BCD1.N_65_i_0_c ) ); // @3:7 OB11 \FIRST_Z[2] ( .XO0(FIRST[2]), .A0(\BCD1.N_67_i_0_c ) ); // @3:7 OB11 \FIRST_Z[3] ( .XO0(FIRST[3]), .A0(FIRST_c[3]) ); // @3:7 OB11 \FIRST_Z[4] ( .XO0(FIRST[4]), .A0(FIRST_c[4]) ); // @3:7 OB11 \FIRST_Z[5] ( .XO0(FIRST[5]), .A0(FIRST_c[5]) ); // @3:7 OB11 \FIRST_Z[6] ( .XO0(FIRST[6]), .A0(FIRST_c[6]) ); // @3:7 OB11 \SECOND_Z[0] ( .XO0(SECOND[0]), .A0(SECOND_c[0]) ); // @3:7 OB11 \SECOND_Z[1] ( .XO0(SECOND[1]), .A0(\BCD2.N_65_i_0_c ) ); // @3:7 OB11 \SECOND_Z[2] ( .XO0(SECOND[2]), .A0(\BCD2.N_67_i_0_c ) ); // @3:7 OB11 \SECOND_Z[3] ( .XO0(SECOND[3]), .A0(SECOND_c[3]) ); // @3:7 OB11 \SECOND_Z[4] ( .XO0(SECOND[4]), .A0(SECOND_c[4]) ); // @3:7 OB11 \SECOND_Z[5] ( .XO0(SECOND[5]), .A0(SECOND_c[5]) ); // @3:7 OB11 \SECOND_Z[6] ( .XO0(SECOND[6]), .A0(SECOND_c[6]) ); // @3:7 OB11 \THIRD_Z[0] ( .XO0(THIRD[0]), .A0(THIRD_c[0]) ); // @3:7 OB11 \THIRD_Z[1] ( .XO0(THIRD[1]), .A0(\BCD3.N_65_i_0_c ) ); // @3:7 OB11 \THIRD_Z[2] ( .XO0(THIRD[2]), .A0(\BCD3.N_67_i_0_c ) ); // @3:7 OB11 \THIRD_Z[3] ( .XO0(THIRD[3]), .A0(THIRD_c[3]) ); // @3:7 OB11 \THIRD_Z[4] ( .XO0(THIRD[4]), .A0(THIRD_c[4]) ); // @3:7 OB11 \THIRD_Z[5] ( .XO0(THIRD[5]), .A0(THIRD_c[5]) ); // @3:7 OB11 \THIRD_Z[6] ( .XO0(THIRD[6]), .A0(THIRD_c[6]) ); // @3:7 OB11 \FOURTH_Z[0] ( .XO0(FOURTH[0]), .A0(FOURTH_c[0]) ); // @3:7 OB11 \FOURTH_Z[1] ( .XO0(FOURTH[1]), .A0(\BCD4.N_65_i_0_c ) ); // @3:7 OB11 \FOURTH_Z[2] ( .XO0(FOURTH[2]), .A0(\BCD4.N_67_i_0_c ) ); // @3:7 OB11 \FOURTH_Z[3] ( .XO0(FOURTH[3]), .A0(FOURTH_c[3]) ); // @3:7 OB11 \FOURTH_Z[4] ( .XO0(FOURTH[4]), .A0(FOURTH_c[4]) ); // @3:7 OB11 \FOURTH_Z[5] ( .XO0(FOURTH[5]), .A0(FOURTH_c[5]) ); // @3:7 OB11 \FOURTH_Z[6] ( .XO0(FOURTH[6]), .A0(FOURTH_c[6]) ); // @3:7 IB11 CLOCK_Z ( .Z0(CLOCK_c), .XI0(CLOCK) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_7_0.G_81 ( .Z0(N_151), .A0(\BCD2.N_90_i ), .A1(\BCD2.N_91_i ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_2_i.G_82 ( .Z0(N_152), .A0(\BCD2.N_82_i ), .A1(\BCD2.N_83_i ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_2_i.G_83 ( .Z0(N_153), .A0(\BCD1.N_82_i ), .A1(\BCD1.N_83_i ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_4_0.G_84 ( .Z0(N_154), .A0(\BCD1.N_86_i ), .A1(\BCD1.N_87_i ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_7_0.G_85 ( .Z0(N_155), .A0(\BCD1.N_90_i ), .A1(\BCD1.N_91_i ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_2_i.G_86 ( .Z0(N_156), .A0(\BCD4.N_82_i ), .A1(\BCD4.N_83_i ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_4_0.G_87 ( .Z0(N_157), .A0(\BCD4.N_86_i ), .A1(\BCD4.N_87_i ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_7_0.G_88 ( .Z0(N_158), .A0(\BCD4.N_90_i ), .A1(\BCD4.N_91_i ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_2_i.G_89 ( .Z0(N_159), .A0(\BCD3.N_82_i ), .A1(\BCD3.N_83_i ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_2_i ( .Z0(\BCD3.N_65_i_0_c ), .A0(\BCD3.N_81_i ), .A1(N_159) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_4_0_and4_1 ( .Z0(\BCD4.N_87 ), .A0(\BCD4.N_72_i ), .A1(N_144) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_7_0 ( .Z0(N_119), .A0(\BCD4.N_85_i ), .A1(N_158) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_4_0 ( .Z0(N_120), .A0(\BCD4.N_85_i ), .A1(N_157) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_2_i ( .Z0(\BCD4.N_65_i_0_c ), .A0(\BCD4.N_81_i ), .A1(N_156) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_4_0_and4_1.G_71 ( .Z0(N_141), .A0(ONES[2]), .A1(ONES_i_0[1]) ); // @3:7 AND2 \G_51.G_72 ( .Z0(N_142), .A0(HUNDREDS[0]), .A1(HUNDREDS[3]) ); // @3:7 AND2 \COUNTER1.un1_TENS_1.G_73 ( .Z0(N_143), .A0(TENS[0]), .A1(TENS[3]) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_4_0_and4_1.G_74 ( .Z0(N_144), .A0(THOUSANDS[2]), .A1(THOUSANDS_i_0[1]) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_4_0_and4_1.G_75 ( .Z0(N_145), .A0(TENS[2]), .A1(TENS_i_0[1]) ); // @3:7 AND2 \COUNTER1.TENS7.G_76 ( .Z0(N_146), .A0(ONES[0]), .A1(ONES[3]) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_4_0_and4_1.G_77 ( .Z0(N_147), .A0(HUNDREDS[2]), .A1(HUNDREDS_i_0[1]) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_7_0.G_78 ( .Z0(N_148), .A0(\BCD3.N_90_i ), .A1(\BCD3.N_91_i ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_4_0.G_79 ( .Z0(N_149), .A0(\BCD3.N_86_i ), .A1(\BCD3.N_87_i ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_4_0.G_80 ( .Z0(N_150), .A0(\BCD2.N_86_i ), .A1(\BCD2.N_87_i ) ); // @3:7 INV \BCD4.SEGMENTS_15.N_83_i ( .ZN0(\BCD4.N_83_i ), .A0(\BCD4.N_83 ) ); // @3:7 AND2 \COUNTER1.TENS7_Z ( .Z0(\COUNTER1.TENS7 ), .A0(\COUNTER1.TENS7_2 ), .A1(N_146) ); // @3:7 AND2 \COUNTER1.un1_TENS_1_Z ( .Z0(\COUNTER1.un1_TENS_1 ), .A0(\COUNTER1.un1_TENS_1_2 ), .A1(N_143) ); // @3:7 AND2 G_51 ( .Z0(N_61_i_0), .A0(\BCD3.N_73_i ), .A1(N_142) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_4_0_and4_1 ( .Z0(\BCD1.N_87 ), .A0(\BCD1.N_72_i ), .A1(N_141) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_7_0 ( .Z0(N_110), .A0(\BCD1.N_85_i ), .A1(N_155) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_4_0 ( .Z0(N_111), .A0(\BCD1.N_85_i ), .A1(N_154) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_2_i ( .Z0(\BCD1.N_65_i_0_c ), .A0(\BCD1.N_81_i ), .A1(N_153) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_4_0_and4_1 ( .Z0(\BCD2.N_87 ), .A0(\BCD2.N_72_i ), .A1(N_145) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_7_0 ( .Z0(N_113), .A0(\BCD2.N_85_i ), .A1(N_151) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_4_0 ( .Z0(N_114), .A0(\BCD2.N_85_i ), .A1(N_150) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_2_i ( .Z0(\BCD2.N_65_i_0_c ), .A0(\BCD2.N_81_i ), .A1(N_152) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_4_0_and4_1 ( .Z0(\BCD3.N_87 ), .A0(\BCD3.N_72_i ), .A1(N_147) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_7_0 ( .Z0(N_116), .A0(\BCD3.N_85_i ), .A1(N_148) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_4_0 ( .Z0(N_117), .A0(\BCD3.N_85_i ), .A1(N_149) ); // @3:7 INV \BCD3.SEGMENTS_15.N_85_i ( .ZN0(\BCD3.N_85_i ), .A0(\BCD3.N_85 ) ); // @3:7 INV \BCD3.SEGMENTS_15.N_90_i ( .ZN0(\BCD3.N_90_i ), .A0(\BCD3.N_90 ) ); // @3:7 INV \BCD3.SEGMENTS_15.N_91_i ( .ZN0(\BCD3.N_91_i ), .A0(\BCD3.N_91 ) ); // @3:7 INV \BCD3.SEGMENTS_15.G_7_0_i ( .ZN0(THIRD_c[6]), .A0(N_116) ); // @3:7 INV \BCD3.SEGMENTS_15.N_87_i ( .ZN0(\BCD3.N_87_i ), .A0(\BCD3.N_87 ) ); // @3:7 INV \BCD3.SEGMENTS_15.G_4_0_i ( .ZN0(THIRD_c[3]), .A0(N_117) ); // @3:7 INV \BCD3.SEGMENTS_15.N_82_i ( .ZN0(\BCD3.N_82_i ), .A0(\BCD3.N_82 ) ); // @3:7 INV \BCD3.SEGMENTS_15.N_83_i ( .ZN0(\BCD3.N_83_i ), .A0(\BCD3.N_83 ) ); // @3:7 INV \BCD4.SEGMENTS_15.N_85_i ( .ZN0(\BCD4.N_85_i ), .A0(\BCD4.N_85 ) ); // @3:7 INV \BCD4.SEGMENTS_15.N_90_i ( .ZN0(\BCD4.N_90_i ), .A0(\BCD4.N_90 ) ); // @3:7 INV \BCD4.SEGMENTS_15.N_91_i ( .ZN0(\BCD4.N_91_i ), .A0(\BCD4.N_91 ) ); // @3:7 INV \BCD4.SEGMENTS_15.G_7_0_i ( .ZN0(FOURTH_c[6]), .A0(N_119) ); // @3:7 INV \BCD4.SEGMENTS_15.N_87_i ( .ZN0(\BCD4.N_87_i ), .A0(\BCD4.N_87 ) ); // @3:7 INV \BCD4.SEGMENTS_15.G_4_0_i ( .ZN0(FOURTH_c[3]), .A0(N_120) ); // @3:7 INV \BCD4.SEGMENTS_15.N_82_i ( .ZN0(\BCD4.N_82_i ), .A0(\BCD4.N_82 ) ); // @3:7 INV \BCD1.SEGMENTS_15.N_90_i ( .ZN0(\BCD1.N_90_i ), .A0(\BCD1.N_90 ) ); // @3:7 INV \BCD1.SEGMENTS_15.N_91_i ( .ZN0(\BCD1.N_91_i ), .A0(\BCD1.N_91 ) ); // @3:7 INV \BCD1.SEGMENTS_15.G_7_0_i ( .ZN0(FIRST_c[6]), .A0(N_110) ); // @3:7 INV \BCD1.SEGMENTS_15.N_87_i ( .ZN0(\BCD1.N_87_i ), .A0(\BCD1.N_87 ) ); // @3:7 INV \BCD1.SEGMENTS_15.G_4_0_i ( .ZN0(FIRST_c[3]), .A0(N_111) ); // @3:7 INV \BCD1.SEGMENTS_15.N_82_i ( .ZN0(\BCD1.N_82_i ), .A0(\BCD1.N_82 ) ); // @3:7 INV \BCD1.SEGMENTS_15.N_83_i ( .ZN0(\BCD1.N_83_i ), .A0(\BCD1.N_83 ) ); // @3:7 INV \BCD2.SEGMENTS_15.N_85_i ( .ZN0(\BCD2.N_85_i ), .A0(\BCD2.N_85 ) ); // @3:7 INV \BCD2.SEGMENTS_15.N_90_i ( .ZN0(\BCD2.N_90_i ), .A0(\BCD2.N_90 ) ); // @3:7 INV \BCD2.SEGMENTS_15.N_91_i ( .ZN0(\BCD2.N_91_i ), .A0(\BCD2.N_91 ) ); // @3:7 INV \BCD2.SEGMENTS_15.G_7_0_i ( .ZN0(SECOND_c[6]), .A0(N_113) ); // @3:7 INV \BCD2.SEGMENTS_15.N_87_i ( .ZN0(\BCD2.N_87_i ), .A0(\BCD2.N_87 ) ); // @3:7 INV \BCD2.SEGMENTS_15.G_4_0_i ( .ZN0(SECOND_c[3]), .A0(N_114) ); // @3:7 INV \BCD2.SEGMENTS_15.N_82_i ( .ZN0(\BCD2.N_82_i ), .A0(\BCD2.N_82 ) ); // @3:7 INV \BCD2.SEGMENTS_15.N_83_i ( .ZN0(\BCD2.N_83_i ), .A0(\BCD2.N_83 ) ); // @3:7 INV \BCD2.G_72_i ( .ZN0(\BCD2.N_74 ), .A0(\BCD2.N_74_i ) ); // @3:7 INV \BCD2.G_74_i ( .ZN0(\BCD2.N_76 ), .A0(N_101) ); // @3:7 INV \BCD2.G_76_i ( .ZN0(\BCD2.N_78 ), .A0(N_102) ); // @3:7 INV \BCD1.N_92_i_Z ( .ZN0(\BCD1.N_92_i ), .A0(\BCD1.N_92 ) ); // @3:7 INV \BCD1.SEGMENTS_15.G_1_0_i ( .ZN0(FIRST_c[0]), .A0(N_103) ); // @3:7 INV \BCD1.SEGMENTS_15.N_81_i ( .ZN0(\BCD1.N_81_i ), .A0(\BCD1.N_81 ) ); // @3:7 INV \BCD1.SEGMENTS_15.N_84_i ( .ZN0(\BCD1.N_84_i ), .A0(\BCD1.N_84 ) ); // @3:7 INV \BCD1.SEGMENTS_15.N_86_i ( .ZN0(\BCD1.N_86_i ), .A0(\BCD1.N_86 ) ); // @3:7 INV \BCD1.SEGMENTS_15.N_89_i ( .ZN0(\BCD1.N_89_i ), .A0(\BCD1.N_89 ) ); // @3:7 INV \BCD1.SEGMENTS_15.G_6_0_i ( .ZN0(FIRST_c[5]), .A0(N_105) ); // @3:7 INV \BCD1.G_70_i ( .ZN0(\BCD1.N_72 ), .A0(\BCD1.N_72_i ) ); // @3:7 INV \BCD1.G_72_i ( .ZN0(\BCD1.N_74 ), .A0(\BCD1.N_74_i ) ); // @3:7 INV \BCD1.G_74_i ( .ZN0(\BCD1.N_76 ), .A0(N_108) ); // @3:7 INV \BCD1.G_76_i ( .ZN0(\BCD1.N_78 ), .A0(N_109) ); // @3:7 INV \BCD1.SEGMENTS_15.N_85_i ( .ZN0(\BCD1.N_85_i ), .A0(\BCD1.N_85 ) ); // @3:7 INV \BCD4.SEGMENTS_15.G_6_0_i ( .ZN0(FOURTH_c[5]), .A0(N_87) ); // @3:7 INV \BCD4.G_70_i ( .ZN0(\BCD4.N_72 ), .A0(\BCD4.N_72_i ) ); // @3:7 INV \BCD4.G_71_i ( .ZN0(\BCD4.N_73 ), .A0(\BCD4.N_73_i ) ); // @3:7 INV \BCD4.G_72_i ( .ZN0(\BCD4.N_74 ), .A0(\BCD4.N_74_i ) ); // @3:7 INV \BCD4.G_76_i ( .ZN0(\BCD4.N_78 ), .A0(N_91) ); // @3:7 INV N_67_i_Z ( .ZN0(N_67_i), .A0(N_67) ); // @3:7 INV \COUNTER1.THOUSANDS7_i_Z ( .ZN0(\COUNTER1.THOUSANDS7_i ), .A0(\COUNTER1.THOUSANDS7 ) ); // @3:7 INV \BCD2.N_92_i_Z ( .ZN0(\BCD2.N_92_i ), .A0(\BCD2.N_92 ) ); // @3:7 INV \BCD2.SEGMENTS_15.G_1_0_i ( .ZN0(SECOND_c[0]), .A0(N_96) ); // @3:7 INV \BCD2.SEGMENTS_15.N_81_i ( .ZN0(\BCD2.N_81_i ), .A0(\BCD2.N_81 ) ); // @3:7 INV \BCD2.SEGMENTS_15.N_84_i ( .ZN0(\BCD2.N_84_i ), .A0(\BCD2.N_84 ) ); // @3:7 INV \BCD2.SEGMENTS_15.N_86_i ( .ZN0(\BCD2.N_86_i ), .A0(\BCD2.N_86 ) ); // @3:7 INV \BCD2.SEGMENTS_15.N_89_i ( .ZN0(\BCD2.N_89_i ), .A0(\BCD2.N_89 ) ); // @3:7 INV \BCD2.SEGMENTS_15.G_6_0_i ( .ZN0(SECOND_c[5]), .A0(N_98) ); // @3:7 INV \BCD2.G_70_i ( .ZN0(\BCD2.N_72 ), .A0(\BCD2.N_72_i ) ); // @3:7 INV \BCD3.SEGMENTS_15.G_6_0_i ( .ZN0(THIRD_c[5]), .A0(N_77) ); // @3:7 INV \BCD3.G_70_i ( .ZN0(\BCD3.N_72 ), .A0(\BCD3.N_72_i ) ); // @3:7 INV \BCD3.G_71_i ( .ZN0(\BCD3.N_73 ), .A0(\BCD3.N_73_i ) ); // @3:7 INV \BCD3.G_74_i ( .ZN0(\BCD3.N_76 ), .A0(N_80) ); // @3:7 INV \BCD3.G_76_i ( .ZN0(\BCD3.N_78 ), .A0(N_81) ); // @3:7 INV \BCD3.G_72_i ( .ZN0(\BCD3.N_74 ), .A0(\BCD3.N_74_i ) ); // @3:7 INV \BCD3.SEGMENTS_15.N_81_i ( .ZN0(\BCD3.N_81_i ), .A0(\BCD3.N_81 ) ); // @3:7 INV \BCD3.SEGMENTS_15.N_84_i ( .ZN0(\BCD3.N_84_i ), .A0(\BCD3.N_84 ) ); // @3:7 INV G_51_i ( .ZN0(N_61), .A0(N_61_i_0) ); // @3:7 INV \BCD4.N_92_i_Z ( .ZN0(\BCD4.N_92_i ), .A0(\BCD4.N_92 ) ); // @3:7 INV \BCD4.SEGMENTS_15.G_1_0_i ( .ZN0(FOURTH_c[0]), .A0(N_85) ); // @3:7 INV \BCD4.SEGMENTS_15.N_81_i ( .ZN0(\BCD4.N_81_i ), .A0(\BCD4.N_81 ) ); // @3:7 INV \BCD4.SEGMENTS_15.N_84_i ( .ZN0(\BCD4.N_84_i ), .A0(\BCD4.N_84 ) ); // @3:7 INV \BCD4.SEGMENTS_15.N_86_i ( .ZN0(\BCD4.N_86_i ), .A0(\BCD4.N_86 ) ); // @3:7 INV \BCD4.SEGMENTS_15.N_89_i ( .ZN0(\BCD4.N_89_i ), .A0(\BCD4.N_89 ) ); // @3:7 INV \BCD3.N_92_i_Z ( .ZN0(\BCD3.N_92_i ), .A0(\BCD3.N_92 ) ); // @3:7 INV \BCD3.SEGMENTS_15.G_1_0_i ( .ZN0(THIRD_c[0]), .A0(N_76) ); // @3:7 INV \BCD3.SEGMENTS_15.N_86_i ( .ZN0(\BCD3.N_86_i ), .A0(\BCD3.N_86 ) ); // @3:7 INV \BCD3.SEGMENTS_15.N_89_i ( .ZN0(\BCD3.N_89_i ), .A0(\BCD3.N_89 ) ); // @3:7 INV \COUNTER1.TENS_i[1] ( .ZN0(TENS_i_0[1]), .A0(TENS[1]) ); // @3:7 INV \COUNTER1.TENS_i[2] ( .ZN0(TENS_i_0[2]), .A0(TENS[2]) ); // @3:7 INV \COUNTER1.un1_TENS_1_i ( .ZN0(\COUNTER1.un1_TENS_1_i_0 ), .A0(\COUNTER1.un1_TENS_1 ) ); // @3:7 INV \COUNTER1.TENS7_i_Z ( .ZN0(\COUNTER1.TENS7_i ), .A0(\COUNTER1.TENS7 ) ); // @3:7 INV \COUNTER1.TENS_i[3] ( .ZN0(TENS_i_0[3]), .A0(TENS[3]) ); // @3:7 INV \COUNTER1.TENS_i[0] ( .ZN0(TENS_i_0[0]), .A0(TENS[0]) ); // @3:7 INV \COUNTER1.un1_TENS_1_2_i ( .ZN0(\COUNTER1.un1_TENS_1_2_i_0 ), .A0(\COUNTER1.un1_TENS_1_2 ) ); // @3:7 INV \COUNTER1.ONES_i[1] ( .ZN0(ONES_i_0[1]), .A0(ONES[1]) ); // @3:7 INV \COUNTER1.ONES_i[2] ( .ZN0(ONES_i_0[2]), .A0(ONES[2]) ); // @3:7 INV \COUNTER1.ONES_i[3] ( .ZN0(ONES_i_0[3]), .A0(ONES[3]) ); // @3:7 INV \COUNTER1.ONES_i[0] ( .ZN0(ONES_i_0[0]), .A0(ONES[0]) ); // @3:7 INV \COUNTER1.TENS7_2_i ( .ZN0(\COUNTER1.TENS7_2_i_0 ), .A0(\COUNTER1.TENS7_2 ) ); // @3:7 AND2 \BCD3.G_71 ( .Z0(\BCD3.N_73_i ), .A0(HUNDREDS_i_0[1]), .A1(HUNDREDS_i_0[2]) ); // @3:7 AND2 \BCD3.G_70 ( .Z0(\BCD3.N_72_i ), .A0(HUNDREDS_i_0[3]), .A1(HUNDREDS[0]) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_6_0 ( .Z0(N_77), .A0(\BCD3.N_86_i ), .A1(\BCD3.N_89_i ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_1_0 ( .Z0(N_76), .A0(\BCD3.N_92_i ), .A1(\BCD3.N_77 ) ); // @3:7 AND2 \BCD3.G_68 ( .Z0(\BCD3.N_95 ), .A0(HUNDREDS[2]), .A1(HUNDREDS_i_0[3]) ); // @3:7 AND2 \BCD3.G_65 ( .Z0(\BCD3.N_92 ), .A0(HUNDREDS[1]), .A1(HUNDREDS_i_0[3]) ); // @3:7 INV \COUNTER1.HUNDREDS_i[3] ( .ZN0(HUNDREDS_i_0[3]), .A0(HUNDREDS[3]) ); // @3:7 INV \COUNTER1.HUNDREDS_i[0] ( .ZN0(HUNDREDS_i_0[0]), .A0(HUNDREDS[0]) ); // @3:7 INV \COUNTER1.HUNDREDS_i[1] ( .ZN0(HUNDREDS_i_0[1]), .A0(HUNDREDS[1]) ); // @3:7 INV \COUNTER1.HUNDREDS_i[2] ( .ZN0(HUNDREDS_i_0[2]), .A0(HUNDREDS[2]) ); // @3:7 INV \COUNTER1.THOUSANDS_i[3] ( .ZN0(THOUSANDS_i_0[3]), .A0(THOUSANDS[3]) ); // @3:7 INV \COUNTER1.THOUSANDS_i[0] ( .ZN0(THOUSANDS_i_0[0]), .A0(THOUSANDS[0]) ); // @3:7 INV \COUNTER1.THOUSANDS_i[2] ( .ZN0(THOUSANDS_i_0[2]), .A0(THOUSANDS[2]) ); // @3:7 INV \COUNTER1.THOUSANDS_i[1] ( .ZN0(THOUSANDS_i_0[1]), .A0(THOUSANDS[1]) ); // @3:7 INV \COUNTER1.THOUSANDS_c1_i ( .ZN0(\COUNTER1.THOUSANDS_c1_i_0 ), .A0(\COUNTER1.THOUSANDS_c1 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_3_i ( .Z0(\BCD3.N_67_i_0_c ), .A0(\BCD3.N_81_i ), .A1(\BCD3.N_84_i ) ); // @3:7 AND2 \BCD3.G_72 ( .Z0(\BCD3.N_74_i ), .A0(HUNDREDS[2]), .A1(HUNDREDS[0]) ); // @3:7 AND2 \BCD3.G_76 ( .Z0(N_81), .A0(\BCD3.N_73 ), .A1(\BCD3.N_92_i ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_2_i_and4_1 ( .Z0(\BCD3.N_83 ), .A0(HUNDREDS[2]), .A1(\BCD3.N_96 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_3_i_and4 ( .Z0(\BCD3.N_84 ), .A0(HUNDREDS_i_0[2]), .A1(\BCD3.N_96 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_5_0_and4 ( .Z0(THIRD_c[4]), .A0(HUNDREDS_i_0[0]), .A1(\BCD3.N_78 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_7_0_and4_0 ( .Z0(\BCD3.N_91 ), .A0(HUNDREDS_i_0[1]), .A1(\BCD3.N_95 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_7_0_and4 ( .Z0(\BCD3.N_90 ), .A0(HUNDREDS[3]), .A1(\BCD3.N_73_i ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_6_0_and4 ( .Z0(\BCD3.N_89 ), .A0(\BCD3.N_95 ), .A1(\BCD3.N_76 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_4_0_and4_0 ( .Z0(\BCD3.N_86 ), .A0(\BCD3.N_73_i ), .A1(\BCD3.N_72 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_4_0_and4 ( .Z0(\BCD3.N_85 ), .A0(\BCD3.N_92 ), .A1(\BCD3.N_74 ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_2_i_and4_0 ( .Z0(\BCD3.N_82 ), .A0(HUNDREDS_i_0[1]), .A1(\BCD3.N_74_i ) ); // @3:7 AND2 \BCD3.SEGMENTS_15.G_2_i_and4 ( .Z0(\BCD3.N_81 ), .A0(HUNDREDS[3]), .A1(\BCD3.N_73 ) ); // @3:7 MUX2 \BCD3.G_75 ( .Z0(\BCD3.N_77 ), .A0(HUNDREDS_i_0[2]), .A1(\BCD3.N_73 ), .S0(\BCD3.N_72 ) ); // @3:7 AND2 \BCD3.G_74 ( .Z0(N_80), .A0(HUNDREDS[1]), .A1(HUNDREDS[0]) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_2_i_and4_0 ( .Z0(\BCD4.N_82 ), .A0(THOUSANDS_i_0[1]), .A1(\BCD4.N_74_i ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_2_i_and4 ( .Z0(\BCD4.N_81 ), .A0(THOUSANDS[3]), .A1(\BCD4.N_73 ) ); // @3:7 AND2 \BCD4.G_76 ( .Z0(N_91), .A0(\BCD4.N_73 ), .A1(\BCD4.N_92_i ) ); // @3:7 MUX2 \BCD4.G_75 ( .Z0(\BCD4.N_77 ), .A0(THOUSANDS_i_0[2]), .A1(\BCD4.N_73 ), .S0(\BCD4.N_72 ) ); // @3:7 AND2 \BCD4.G_72 ( .Z0(\BCD4.N_74_i ), .A0(THOUSANDS[0]), .A1(THOUSANDS[2]) ); // @3:7 AND2 \BCD4.G_71 ( .Z0(\BCD4.N_73_i ), .A0(THOUSANDS_i_0[1]), .A1(THOUSANDS_i_0[2]) ); // @3:7 AND2 \BCD4.G_70 ( .Z0(\BCD4.N_72_i ), .A0(THOUSANDS[0]), .A1(THOUSANDS_i_0[3]) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_6_0 ( .Z0(N_87), .A0(\BCD4.N_86_i ), .A1(\BCD4.N_89_i ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_3_i ( .Z0(\BCD4.N_67_i_0_c ), .A0(\BCD4.N_81_i ), .A1(\BCD4.N_84_i ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_1_0 ( .Z0(N_85), .A0(\BCD4.N_92_i ), .A1(\BCD4.N_77 ) ); // @3:7 AND2 \BCD4.G_69 ( .Z0(\BCD4.N_96 ), .A0(THOUSANDS[1]), .A1(THOUSANDS_i_0[0]) ); // @3:7 AND2 \BCD4.G_68 ( .Z0(\BCD4.N_95 ), .A0(THOUSANDS[2]), .A1(THOUSANDS_i_0[3]) ); // @3:7 AND2 \BCD4.G_65 ( .Z0(\BCD4.N_92 ), .A0(THOUSANDS[1]), .A1(THOUSANDS_i_0[3]) ); // @3:7 AND2 \COUNTER1.THOUSANDS_c1_Z ( .Z0(\COUNTER1.THOUSANDS_c1 ), .A0(THOUSANDS[1]), .A1(THOUSANDS[0]) ); // @3:7 AND2 \BCD3.G_69 ( .Z0(\BCD3.N_96 ), .A0(HUNDREDS[1]), .A1(HUNDREDS_i_0[0]) ); // @3:7 AND2 \COUNTER1.TENS_7_i[0] ( .Z0(N_52_i), .A0(N_67_i), .A1(\COUNTER1.un1_TENS_2 [0]) ); // @3:7 AND2 G_49 ( .Z0(N_67), .A0(\COUNTER1.TENS7 ), .A1(\COUNTER1.un1_TENS_1 ) ); // @3:7 AND2 \COUNTER1.un1_TENS_1_2_Z ( .Z0(\COUNTER1.un1_TENS_1_2 ), .A0(TENS_i_0[1]), .A1(TENS_i_0[2]) ); // @3:7 XOR2 \COUNTER1.THOUSANDS_n3_Z ( .Z0(\COUNTER1.THOUSANDS_n3 ), .A0(\COUNTER1.THOUSANDS_c2 ), .A1(THOUSANDS[3]) ); // @3:7 AND2 \COUNTER1.THOUSANDS_c2_Z ( .Z0(\COUNTER1.THOUSANDS_c2 ), .A0(THOUSANDS[2]), .A1(\COUNTER1.THOUSANDS_c1 ) ); // @3:7 XOR2 \COUNTER1.THOUSANDS_n2_Z ( .Z0(\COUNTER1.THOUSANDS_n2 ), .A0(\COUNTER1.THOUSANDS_c1 ), .A1(THOUSANDS[2]) ); // @3:7 XOR2 \COUNTER1.THOUSANDS_n1_Z ( .Z0(\COUNTER1.THOUSANDS_n1 ), .A0(THOUSANDS[0]), .A1(THOUSANDS[1]) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_7_0_and4_0 ( .Z0(\BCD4.N_91 ), .A0(THOUSANDS_i_0[1]), .A1(\BCD4.N_95 ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_7_0_and4 ( .Z0(\BCD4.N_90 ), .A0(THOUSANDS[3]), .A1(\BCD4.N_73_i ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_6_0_and4 ( .Z0(\BCD4.N_89 ), .A0(\BCD4.N_95 ), .A1(\COUNTER1.THOUSANDS_c1_i_0 ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_5_0_and4 ( .Z0(FOURTH_c[4]), .A0(THOUSANDS_i_0[0]), .A1(\BCD4.N_78 ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_4_0_and4_0 ( .Z0(\BCD4.N_86 ), .A0(\BCD4.N_73_i ), .A1(\BCD4.N_72 ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_4_0_and4 ( .Z0(\BCD4.N_85 ), .A0(\BCD4.N_92 ), .A1(\BCD4.N_74 ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_3_i_and4 ( .Z0(\BCD4.N_84 ), .A0(THOUSANDS_i_0[2]), .A1(\BCD4.N_96 ) ); // @3:7 AND2 \BCD4.SEGMENTS_15.G_2_i_and4_1 ( .Z0(\BCD4.N_83 ), .A0(THOUSANDS[2]), .A1(\BCD4.N_96 ) ); // @3:7 AND2 \BCD2.G_70 ( .Z0(\BCD2.N_72_i ), .A0(TENS_i_0[3]), .A1(TENS[0]) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_6_0 ( .Z0(N_98), .A0(\BCD2.N_86_i ), .A1(\BCD2.N_89_i ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_3_i ( .Z0(\BCD2.N_67_i_0_c ), .A0(\BCD2.N_81_i ), .A1(\BCD2.N_84_i ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_1_0 ( .Z0(N_96), .A0(\BCD2.N_92_i ), .A1(\BCD2.N_77 ) ); // @3:7 AND2 \BCD2.G_69 ( .Z0(\BCD2.N_96 ), .A0(TENS[1]), .A1(TENS_i_0[0]) ); // @3:7 AND2 \BCD2.G_68 ( .Z0(\BCD2.N_95 ), .A0(TENS[2]), .A1(TENS_i_0[3]) ); // @3:7 AND2 \BCD2.G_65 ( .Z0(\BCD2.N_92 ), .A0(TENS[1]), .A1(TENS_i_0[3]) ); // @3:7 AND2 \COUNTER1.HUNDREDS_7_i_and2[0] ( .Z0(\COUNTER1.THOUSANDS7 ), .A0(N_61_i_0), .A1(N_67) ); // @3:7 AND2 \COUNTER1.ONES_5_0_and2[3] ( .Z0(\COUNTER1.ONES_5 [3]), .A0(\COUNTER1.TENS7_i ), .A1(\COUNTER1.ONES_1 [3]) ); // @3:7 AND2 \COUNTER1.ONES_5_0_and2[1] ( .Z0(\COUNTER1.ONES_5 [1]), .A0(\COUNTER1.TENS7_i ), .A1(\COUNTER1.ONES_1 [1]) ); // @3:7 AND2 \COUNTER1.un1_HUNDREDS7_1_0_and2 ( .Z0(N_63), .A0(N_61), .A1(N_67) ); // @3:7 AND2 \COUNTER1.un1_TENS7_0_and2 ( .Z0(N_62), .A0(\COUNTER1.TENS7 ), .A1(\COUNTER1.un1_TENS_1_i_0 ) ); // @3:7 AND2 \COUNTER1.HUNDREDS_7_i[3] ( .Z0(N_58_i), .A0(\COUNTER1.THOUSANDS7_i ), .A1(\COUNTER1.un1_HUNDREDS_1 [3]) ); // @3:7 AND2 \COUNTER1.HUNDREDS_7_i[0] ( .Z0(N_56_i), .A0(\COUNTER1.THOUSANDS7_i ), .A1(\COUNTER1.un1_HUNDREDS_1 [0]) ); // @3:7 AND2 \COUNTER1.TENS_7_i[3] ( .Z0(N_54_i), .A0(N_67_i), .A1(\COUNTER1.un1_TENS_2 [3]) ); // @3:7 AND2 \COUNTER1.TENS7_2_Z ( .Z0(\COUNTER1.TENS7_2 ), .A0(ONES_i_0[1]), .A1(ONES_i_0[2]) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_7_0_and4_0 ( .Z0(\BCD2.N_91 ), .A0(TENS_i_0[1]), .A1(\BCD2.N_95 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_7_0_and4 ( .Z0(\BCD2.N_90 ), .A0(TENS[3]), .A1(\COUNTER1.un1_TENS_1_2 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_6_0_and4 ( .Z0(\BCD2.N_89 ), .A0(\BCD2.N_95 ), .A1(\BCD2.N_76 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_5_0_and4 ( .Z0(SECOND_c[4]), .A0(TENS_i_0[0]), .A1(\BCD2.N_78 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_4_0_and4_0 ( .Z0(\BCD2.N_86 ), .A0(\COUNTER1.un1_TENS_1_2 ), .A1(\BCD2.N_72 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_4_0_and4 ( .Z0(\BCD2.N_85 ), .A0(\BCD2.N_92 ), .A1(\BCD2.N_74 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_3_i_and4 ( .Z0(\BCD2.N_84 ), .A0(TENS_i_0[2]), .A1(\BCD2.N_96 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_2_i_and4_1 ( .Z0(\BCD2.N_83 ), .A0(TENS[2]), .A1(\BCD2.N_96 ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_2_i_and4_0 ( .Z0(\BCD2.N_82 ), .A0(TENS_i_0[1]), .A1(\BCD2.N_74_i ) ); // @3:7 AND2 \BCD2.SEGMENTS_15.G_2_i_and4 ( .Z0(\BCD2.N_81 ), .A0(TENS[3]), .A1(\COUNTER1.un1_TENS_1_2_i_0 ) ); // @3:7 AND2 \BCD2.G_76 ( .Z0(N_102), .A0(\COUNTER1.un1_TENS_1_2_i_0 ), .A1(\BCD2.N_92_i ) ); // @3:7 MUX2 \BCD2.G_75 ( .Z0(\BCD2.N_77 ), .A0(TENS_i_0[2]), .A1(\COUNTER1.un1_TENS_1_2_i_0 ), .S0(\BCD2.N_72 ) ); // @3:7 AND2 \BCD2.G_74 ( .Z0(N_101), .A0(TENS[1]), .A1(TENS[0]) ); // @3:7 AND2 \BCD2.G_72 ( .Z0(\BCD2.N_74_i ), .A0(TENS[2]), .A1(TENS[0]) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_3_i_and4 ( .Z0(\BCD1.N_84 ), .A0(ONES_i_0[2]), .A1(\BCD1.N_96 ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_2_i_and4_1 ( .Z0(\BCD1.N_83 ), .A0(ONES[2]), .A1(\BCD1.N_96 ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_2_i_and4_0 ( .Z0(\BCD1.N_82 ), .A0(ONES_i_0[1]), .A1(\BCD1.N_74_i ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_2_i_and4 ( .Z0(\BCD1.N_81 ), .A0(ONES[3]), .A1(\COUNTER1.TENS7_2_i_0 ) ); // @3:7 AND2 \BCD1.G_76 ( .Z0(N_109), .A0(\COUNTER1.TENS7_2_i_0 ), .A1(\BCD1.N_92_i ) ); // @3:7 MUX2 \BCD1.G_75 ( .Z0(\BCD1.N_77 ), .A0(ONES_i_0[2]), .A1(\COUNTER1.TENS7_2_i_0 ), .S0(\BCD1.N_72 ) ); // @3:7 AND2 \BCD1.G_74 ( .Z0(N_108), .A0(ONES[1]), .A1(ONES[0]) ); // @3:7 AND2 \BCD1.G_72 ( .Z0(\BCD1.N_74_i ), .A0(ONES[2]), .A1(ONES[0]) ); // @3:7 AND2 \BCD1.G_70 ( .Z0(\BCD1.N_72_i ), .A0(ONES_i_0[3]), .A1(ONES[0]) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_6_0 ( .Z0(N_105), .A0(\BCD1.N_86_i ), .A1(\BCD1.N_89_i ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_3_i ( .Z0(\BCD1.N_67_i_0_c ), .A0(\BCD1.N_81_i ), .A1(\BCD1.N_84_i ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_1_0 ( .Z0(N_103), .A0(\BCD1.N_92_i ), .A1(\BCD1.N_77 ) ); // @3:7 AND2 \BCD1.G_69 ( .Z0(\BCD1.N_96 ), .A0(ONES[1]), .A1(ONES_i_0[0]) ); // @3:7 AND2 \BCD1.G_68 ( .Z0(\BCD1.N_95 ), .A0(ONES[2]), .A1(ONES_i_0[3]) ); // @3:7 AND2 \BCD1.G_65 ( .Z0(\BCD1.N_92 ), .A0(ONES[1]), .A1(ONES_i_0[3]) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_7_0_and4_0 ( .Z0(\BCD1.N_91 ), .A0(ONES_i_0[1]), .A1(\BCD1.N_95 ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_7_0_and4 ( .Z0(\BCD1.N_90 ), .A0(ONES[3]), .A1(\COUNTER1.TENS7_2 ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_6_0_and4 ( .Z0(\BCD1.N_89 ), .A0(\BCD1.N_95 ), .A1(\BCD1.N_76 ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_5_0_and4 ( .Z0(FIRST_c[4]), .A0(ONES_i_0[0]), .A1(\BCD1.N_78 ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_4_0_and4_0 ( .Z0(\BCD1.N_86 ), .A0(\COUNTER1.TENS7_2 ), .A1(\BCD1.N_72 ) ); // @3:7 AND2 \BCD1.SEGMENTS_15.G_4_0_and4 ( .Z0(\BCD1.N_85 ), .A0(\BCD1.N_92 ), .A1(\BCD1.N_74 ) ); //@3:7 assign GND = 1'b0; endmodule /* topcounter */