(Edif MOD60 (edifversion 2 0 0 ) (ediflevel 0) (keywordmap (keywordLevel 0)) (status (written (timeStamp 2000 10 29 05 03 40) (program "edfmerge" (Version "2.0 Beta")) (dataOrigin "ispDesignEXPERT" (Version "8.1")))) (external Lattice (ediflevel 0) (technology (numberDefinition)) (cell AND2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell AND3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell AND4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell BUF (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell INV (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell LXOR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell NAND2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NAND3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NAND4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NOR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NOR3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NOR4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell OR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell OR3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell OR4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell XNOR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell MUX2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port S0 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell MUX4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port S0 (direction INPUT)) (port S1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell MUX8 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port A4 (direction INPUT)) (port A5 (direction INPUT)) (port A6 (direction INPUT)) (port A7 (direction INPUT)) (port S0 (direction INPUT)) (port S1 (direction INPUT)) (port S2 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell ADDF1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port B0 (direction INPUT)) (port CI (direction INPUT)) (port CO (direction OUTPUT)) (port Z0 (direction OUTPUT))))) (cell ADDH1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port B0 (direction INPUT)) (port CO (direction OUTPUT)) (port Z0 (direction OUTPUT))))) (cell BI11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port OE (direction INPUT)) (port XB0 (direction INOUT)) (port Z0 (direction OUTPUT))))) (cell IB11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port XI0 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell OB11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port XO0 (direction OUTPUT))))) (cell OT11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port OE (direction INPUT)) (port XO0 (direction OUTPUT))))) (cell FD11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FD21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port D0 (direction INPUT)) (port G (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port D0 (direction INPUT)) (port G (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD31 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port D0 (direction INPUT)) (port G (direction INPUT)) (port PD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD41 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port D0 (direction INPUT)) (port G (direction INPUT)) (port PD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDE1E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDE1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDC1E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDC1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FD21E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FD11E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK71 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK61 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FTI21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port T0 (direction INPUT)) (port CLK (direction INPUT)) (port CD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FTI31 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port T0 (direction INPUT)) (port CLK (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FTI41 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port T0 (direction INPUT)) (port CLK (direction INPUT)) (port CD (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) ) (library MOD60 (ediflevel 0) (technology (numberDefinition)) (cell XINPUT (cellType GENERIC) (view prim (viewType NETLIST) (interface (port Z0 (direction OUTPUT) (property crossref (string "0:0:0:0:0"))) (port XI0 (direction INPUT) (property crossref (string "0:0:0:0:0")))))) (cell XOUTPUT (cellType GENERIC) (view prim (viewType NETLIST) (interface (port XO0 (direction OUTPUT) (property crossref (string "0:0:0:0:0"))) (port A0 (direction INPUT) (property crossref (string "0:0:0:0:0")))))) (cell DFF_FD (cellType GENERIC) (view prim (viewType NETLIST) (interface (port Q (direction OUTPUT) (property crossref (string "0:0:0:0:0"))) (port D (direction INPUT) (property crossref (string "0:0:0:0:0"))) (port CP (direction INPUT) (property crossref (string "0:0:0:0:0"))) (port R (direction INPUT) (property crossref (string "0:0:0:0:0")))))) (cell XOR2 (cellType GENERIC) (view prim (viewType NETLIST) (interface (port Z0 (direction OUTPUT) (property crossref (string "0:0:0:0:0"))) (port A0 (direction INPUT) (property crossref (string "0:0:0:0:0"))) (port A1 (direction INPUT) (property crossref (string "0:0:0:0:0")))))) (cell MOD60 (cellType GENERIC) (property file3 (string "c:\ec333_fall2000 digital systems\labs\lab8\module60.v")) (property file2 (string "c:\isptools\ispsys\generic\verilog\synplify\generic.v")) (property file1 (string "c:\isptools\synplify\lib\cpld\lattice.v")) (property file0 (string "noname")) (view verilog (viewType NETLIST) (interface (port (array (rename COUNT "COUNT[6:1]") 6) (direction OUTPUT) (property crossref (string "3:15:27:15:31"))) (port COUNT_UP (direction INPUT) (property crossref (string "3:14:7:14:14"))) (port COUNT_DOWN (direction INPUT) (property crossref (string "3:14:17:14:26"))) (port OVERFLOW (direction OUTPUT) (property crossref (string "3:16:8:16:15"))) (port CLOCK (direction INPUT) (property crossref (string "3:14:29:14:33")))) (contents (instance COUNT_UPZ0 (viewRef prim (cellRef IB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_DOWNZ0 (viewRef prim (cellRef IB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNTFD11_1 (viewRef prim (cellRef FD11 (libraryRef lattice))) (property crossref (string "3:24:0:24:5"))) (instance COUNTZ0Z_1 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNTFD11_2 (viewRef prim (cellRef FD11 (libraryRef lattice))) (property crossref (string "3:24:0:24:5"))) (instance COUNTZ0Z_2 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNTFD11_3 (viewRef prim (cellRef FD11 (libraryRef lattice))) (property crossref (string "3:24:0:24:5"))) (instance COUNTZ0Z_3 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNTFD11_4 (viewRef prim (cellRef FD11 (libraryRef lattice))) (property crossref (string "3:24:0:24:5"))) (instance COUNTZ0Z_4 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNTFD11_5 (viewRef prim (cellRef FD11 (libraryRef lattice))) (property crossref (string "3:24:0:24:5"))) (instance COUNTZ0Z_5 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNTFD11_6 (viewRef prim (cellRef FD11 (libraryRef lattice))) (property crossref (string "3:24:0:24:5"))) (instance COUNTZ0Z_6 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOWFD11 (viewRef prim (cellRef FD11 (libraryRef lattice))) (property crossref (string "3:24:0:24:5"))) (instance OVERFLOWZ0 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance CLOCKZ0 (viewRef prim (cellRef IB11 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_3 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_2 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_1 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_1_G_15 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_2_G_16 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_3_G_17 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_4_G_18 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_5_G_19 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW6_G_20 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW6_G_21 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW6_G_22 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW6_G_23 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_i_1 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_i_2 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_c_i_5 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_i_4 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_c_i_4 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_i_3 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_c_i_3 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_i_2 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_c_i_2 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_i_1 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW_c_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW_4_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW6 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_5 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_4 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_i_4 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_i_4 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_i_5 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_i_3 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_i_3 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_i_4 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_i_2 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_i_2 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_i_3 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_i_1 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un1_COUNT_i_2 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un1_COUNT_i_6 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW6_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_3 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_1 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_2 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_3 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un3_cint_4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_2 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_3 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_cint_5 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_d_0 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance N_4_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_UP_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_DOWN_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_un1_COUNT_i_1 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance OVERFLOW_4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_8_3 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_8_4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT_8_5 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance G_5 (viewRef prim (cellRef XOR2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance COUNT13_0_and2 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_1 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_2 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_3 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_genab_4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_1 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (instance un1_COUNT_sa_sa_a1_propab_2 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "0:0:0:0:0"))) (net un1_COUNT_1 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_0)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un1_COUNT_i_1)) (portRef D0 (instanceRef COUNTFD11_1)))) (net un1_COUNT_2 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_1)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un1_COUNT_i_2)) (portRef D0 (instanceRef COUNTFD11_2)))) (net un1_COUNT_3 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_2)) (portRef A1 (instanceRef COUNT_8_3)) (portRef A1 (instanceRef OVERFLOW6_G_21)))) (net un1_COUNT_4 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_3)) (portRef A1 (instanceRef COUNT_8_4)) (portRef A1 (instanceRef OVERFLOW6_G_22)))) (net un1_COUNT_5 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_4)) (portRef A1 (instanceRef COUNT_8_5)) (portRef A1 (instanceRef OVERFLOW6_G_23)))) (net un1_COUNT_6 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_5)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un1_COUNT_i_6)) (portRef D0 (instanceRef COUNTFD11_6)))) (net OVERFLOWZ0Z_4 (joined (portRef ZN0 (instanceRef OVERFLOW_4_i)) (portRef D0 (instanceRef OVERFLOWFD11)))) (net COUNT_8Z0Z_3 (joined (portRef Z0 (instanceRef COUNT_8_3)) (portRef D0 (instanceRef COUNTFD11_3)))) (net COUNT_8Z0Z_4 (joined (portRef Z0 (instanceRef COUNT_8_4)) (portRef D0 (instanceRef COUNTFD11_4)))) (net COUNT_8Z0Z_5 (joined (portRef Z0 (instanceRef COUNT_8_5)) (portRef D0 (instanceRef COUNTFD11_5)))) (net COUNT13 (joined (portRef Z0 (instanceRef COUNT13_0_and2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_1)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_5_G_19)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_4_G_18)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_3_G_17)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_2_G_16)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_1_G_15)))) (net un1_COUNT_sa_sa_a1_cint_1 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_genab_0)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_1)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_1)))) (net un1_COUNT_sa_sa_a1_cintZ0Z_2 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_2)))) (net un1_COUNT_sa_sa_a1_cintZ0Z_3 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_3)))) (net un1_COUNT_sa_sa_a1_cintZ0Z_4 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_4)))) (net un1_COUNT_sa_sa_a1_cintZ0Z_5 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_5)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_5)))) (net un1_COUNT_sa_sa_a1_un3_cintZ0Z_4 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_4)))) (net un1_COUNT_sa_sa_a1_un3_cintZ0Z_3 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_3)))) (net un1_COUNT_sa_sa_a1_un3_cintZ0Z_2 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_2)))) (net un1_COUNT_sa_sa_a1_un3_cintZ0Z_1 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_1)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_1)))) (net un1_COUNT_sa_sa_a1_propabZ0Z_4 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_4)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_4)))) (net un1_COUNT_sa_sa_a1_propabZ0Z_3 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_3)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_3)))) (net un1_COUNT_sa_sa_a1_propabZ0Z_2 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_2)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_2)))) (net un1_COUNT_sa_sa_a1_propabZ0Z_1 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_1)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_1)))) (net un1_COUNT_sa_sa_a1_genabZ0Z_4 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_genab_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_4)))) (net un1_COUNT_sa_sa_a1_genabZ0Z_3 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_genab_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_3)))) (net un1_COUNT_sa_sa_a1_genabZ0Z_2 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_genab_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_2)))) (net un1_COUNT_sa_sa_a1_genabZ0Z_1 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_genab_1)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_1)))) (net N_4 (joined (portRef Z0 (instanceRef G_5)) (portRef A0 (instanceRef N_4_i)))) (net OVERFLOWZ0Z6 (joined (portRef Z0 (instanceRef OVERFLOW6)) (portRef A0 (instanceRef OVERFLOW6_i)))) (net N_4_i_0 (joined (portRef ZN0 (instanceRef N_4_i)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_genab_0)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_0)))) (net COUNT_UP_i_0 (joined (portRef ZN0 (instanceRef COUNT_UP_i)) (portRef A1 (instanceRef COUNT13_0_and2)))) (net COUNT_DOWN_i_0 (joined (portRef ZN0 (instanceRef COUNT_DOWN_i)) (portRef A1 (instanceRef G_5)))) (net un1_COUNT_i_0_1 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_un1_COUNT_i_1)) (portRef A0 (instanceRef OVERFLOW6_G_20)))) (net un1_COUNT_i_0_2 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_un1_COUNT_i_2)) (portRef A1 (instanceRef OVERFLOW6_G_20)))) (net un1_COUNT_i_0_6 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_un1_COUNT_i_6)) (portRef A0 (instanceRef OVERFLOW6)))) (net OVERFLOW6_iZ0 (joined (portRef ZN0 (instanceRef OVERFLOW6_i)) (portRef A0 (instanceRef COUNT_8_5)) (portRef A0 (instanceRef COUNT_8_4)) (portRef A0 (instanceRef COUNT_8_3)) (portRef A0 (instanceRef OVERFLOW_4)))) (net COUNT_UP_c (joined (portRef Z0 (instanceRef COUNT_UPZ0)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_1)) (portRef A0 (instanceRef G_5)) (portRef A0 (instanceRef COUNT_UP_i)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_3)))) (net COUNT_UP (joined (portRef COUNT_UP) (portRef XI0 (instanceRef COUNT_UPZ0)))) (net COUNT_DOWN_c (joined (portRef Z0 (instanceRef COUNT_DOWNZ0)) (portRef A0 (instanceRef COUNT13_0_and2)) (portRef A0 (instanceRef COUNT_DOWN_i)))) (net COUNT_DOWN (joined (portRef COUNT_DOWN) (portRef XI0 (instanceRef COUNT_DOWNZ0)))) (net COUNT_c_1 (joined (portRef Q0 (instanceRef COUNTFD11_1)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_genab_0)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_0)) (portRef A0 (instanceRef COUNTZ0Z_1)))) (net COUNT_1 (joined (portRef XO0 (instanceRef COUNTZ0Z_1)) (portRef (member COUNT 5)))) (net COUNT_c_2 (joined (portRef Q0 (instanceRef COUNTFD11_2)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_genab_1)) (portRef A0 (instanceRef COUNT_c_i_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_1_G_15)) (portRef A0 (instanceRef COUNTZ0Z_2)))) (net COUNT_2 (joined (portRef XO0 (instanceRef COUNTZ0Z_2)) (portRef (member COUNT 4)))) (net COUNT_c_3 (joined (portRef Q0 (instanceRef COUNTFD11_3)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_genab_2)) (portRef A0 (instanceRef COUNT_c_i_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_2_G_16)) (portRef A0 (instanceRef COUNTZ0Z_3)))) (net COUNT_3 (joined (portRef XO0 (instanceRef COUNTZ0Z_3)) (portRef (member COUNT 3)))) (net COUNT_c_4 (joined (portRef Q0 (instanceRef COUNTFD11_4)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_genab_3)) (portRef A0 (instanceRef COUNT_c_i_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_3_G_17)) (portRef A0 (instanceRef COUNTZ0Z_4)))) (net COUNT_4 (joined (portRef XO0 (instanceRef COUNTZ0Z_4)) (portRef (member COUNT 2)))) (net COUNT_c_5 (joined (portRef Q0 (instanceRef COUNTFD11_5)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_genab_4)) (portRef A0 (instanceRef COUNT_c_i_5)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_4_G_18)) (portRef A0 (instanceRef COUNTZ0Z_5)))) (net COUNT_5 (joined (portRef XO0 (instanceRef COUNTZ0Z_5)) (portRef (member COUNT 1)))) (net COUNT_c_6 (joined (portRef Q0 (instanceRef COUNTFD11_6)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_d_5_G_19)) (portRef A0 (instanceRef COUNTZ0Z_6)))) (net COUNT_6 (joined (portRef XO0 (instanceRef COUNTZ0Z_6)) (portRef (member COUNT 0)))) (net OVERFLOW_c (joined (portRef Q0 (instanceRef OVERFLOWFD11)) (portRef A0 (instanceRef OVERFLOW_c_i)) (portRef A0 (instanceRef OVERFLOWZ0)))) (net OVERFLOW (joined (portRef XO0 (instanceRef OVERFLOWZ0)) (portRef OVERFLOW))) (net CLOCK_c (joined (portRef Z0 (instanceRef CLOCKZ0)) (portRef CLK (instanceRef COUNTFD11_1)) (portRef CLK (instanceRef COUNTFD11_2)) (portRef CLK (instanceRef COUNTFD11_3)) (portRef CLK (instanceRef COUNTFD11_4)) (portRef CLK (instanceRef COUNTFD11_5)) (portRef CLK (instanceRef COUNTFD11_6)) (portRef CLK (instanceRef OVERFLOWFD11)))) (net CLOCK (joined (portRef CLOCK) (portRef XI0 (instanceRef CLOCKZ0)))) (net un1_COUNT_sa_sa_a1_un3_cint_iZ0Z_4 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_5)))) (net un1_COUNT_sa_sa_a1_genab_iZ0Z_4 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_4)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_cint_5)))) (net N_8 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_cint_5)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_5)))) (net un1_COUNT_sa_sa_a1_un3_cint_iZ0Z_3 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_4)))) (net un1_COUNT_sa_sa_a1_genab_iZ0Z_3 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_3)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_cint_4)))) (net N_9 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_cint_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_4)))) (net un1_COUNT_sa_sa_a1_un3_cint_iZ0Z_2 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_3)))) (net un1_COUNT_sa_sa_a1_genab_iZ0Z_2 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_2)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_cint_3)))) (net N_10 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_cint_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_3)))) (net un1_COUNT_sa_sa_a1_un3_cint_iZ0Z_1 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_un3_cint_i_1)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_2)))) (net un1_COUNT_sa_sa_a1_genab_iZ0Z_1 (joined (portRef ZN0 (instanceRef un1_COUNT_sa_sa_a1_genab_i_1)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_cint_2)))) (net N_11 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_cint_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_cint_i_2)))) (net COUNT_c_iZ0Z_5 (joined (portRef ZN0 (instanceRef COUNT_c_i_5)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_propab_4)))) (net N_12 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_propab_4)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_4)))) (net COUNT_c_iZ0Z_4 (joined (portRef ZN0 (instanceRef COUNT_c_i_4)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_propab_3)))) (net N_13 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_propab_3)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_3)))) (net COUNT_c_iZ0Z_3 (joined (portRef ZN0 (instanceRef COUNT_c_i_3)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_propab_2)))) (net N_14 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_propab_2)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_2)))) (net COUNT_c_iZ0Z_2 (joined (portRef ZN0 (instanceRef COUNT_c_i_2)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_propab_1)))) (net N_15 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_propab_1)) (portRef A0 (instanceRef un1_COUNT_sa_sa_a1_propab_i_1)))) (net OVERFLOW_c_iZ0 (joined (portRef ZN0 (instanceRef OVERFLOW_c_i)) (portRef A1 (instanceRef OVERFLOW_4)))) (net N_16 (joined (portRef Z0 (instanceRef OVERFLOW_4)) (portRef A0 (instanceRef OVERFLOW_4_i)))) (net N_26 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_1_G_15)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_1)))) (net N_27 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_2_G_16)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_2)))) (net N_28 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_3_G_17)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_3)))) (net N_29 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_4_G_18)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_4)))) (net N_30 (joined (portRef Z0 (instanceRef un1_COUNT_sa_sa_a1_d_5_G_19)) (portRef A1 (instanceRef un1_COUNT_sa_sa_a1_d_5)))) (net N_31 (joined (portRef Z0 (instanceRef OVERFLOW6_G_20)) (portRef A0 (instanceRef OVERFLOW6_G_21)))) (net N_32 (joined (portRef Z0 (instanceRef OVERFLOW6_G_21)) (portRef A0 (instanceRef OVERFLOW6_G_22)))) (net N_33 (joined (portRef Z0 (instanceRef OVERFLOW6_G_22)) (portRef A0 (instanceRef OVERFLOW6_G_23)))) (net N_34 (joined (portRef Z0 (instanceRef OVERFLOW6_G_23)) (portRef A1 (instanceRef OVERFLOW6)))) (net GND (joined)) (net VCC (joined))) (property NUMBER_OF_BITS (integer 6)) (property MOD (integer 60)))) ) (design MOD60 (cellRef MOD60 (libraryRef MOD60))))