module bcd_to_seven_segment(A, SEGMENTS) ; // display binary number A on a 7 segment display input [3:0] A; // a binary number from 0 to 9 output [6:0] SEGMENTS ; //DISPLAY = {G,F,E,D,C,B,A} of a 7-segment display reg SEGMENTS; always case (A) 0: SEGMENTS <= 7'B0111111; 1: SEGMENTS <= 7'B0000110; 2: SEGMENTS <= 7'B1011011; 3: SEGMENTS <= 7'B1001111; 4: SEGMENTS <= 7'B1100110; 5: SEGMENTS <= 7'B1101101; 6: SEGMENTS <= 7'B1111101; 7: SEGMENTS <= 7'B0000111; 8: SEGMENTS <= 7'B1111111; 9: SEGMENTS <= 7'B1101111; default: SEGMENTS <= 7'B000000; endcase endmodule