(Edif BCD_TO_SEVEN_SEGMENT (edifversion 2 0 0 ) (ediflevel 0) (keywordmap (keywordLevel 0)) (status (written (timeStamp 2000 10 31 17 29 02) (program "edfmerge" (Version "2.0 Beta")) (dataOrigin "ispDesignEXPERT" (Version "8.1")))) (external Lattice (ediflevel 0) (technology (numberDefinition)) (cell AND2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell AND3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell AND4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell BUF (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell INV (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell LXOR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell NAND2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NAND3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NAND4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NOR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NOR3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell NOR4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell OR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell OR3 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell OR4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell XNOR2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port ZN0 (direction OUTPUT))))) (cell MUX2 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port S0 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell MUX4 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port S0 (direction INPUT)) (port S1 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell MUX8 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port A4 (direction INPUT)) (port A5 (direction INPUT)) (port A6 (direction INPUT)) (port A7 (direction INPUT)) (port S0 (direction INPUT)) (port S1 (direction INPUT)) (port S2 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell ADDF1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port B0 (direction INPUT)) (port CI (direction INPUT)) (port CO (direction OUTPUT)) (port Z0 (direction OUTPUT))))) (cell ADDH1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port B0 (direction INPUT)) (port CO (direction OUTPUT)) (port Z0 (direction OUTPUT))))) (cell BI11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port OE (direction INPUT)) (port XB0 (direction INOUT)) (port Z0 (direction OUTPUT))))) (cell IB11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port XI0 (direction INPUT)) (port Z0 (direction OUTPUT))))) (cell OB11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port XO0 (direction OUTPUT))))) (cell OT11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port A0 (direction INPUT)) (port OE (direction INPUT)) (port XO0 (direction OUTPUT))))) (cell FD11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FD21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD11 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port D0 (direction INPUT)) (port G (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port D0 (direction INPUT)) (port G (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD31 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port D0 (direction INPUT)) (port G (direction INPUT)) (port PD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell LD41 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port D0 (direction INPUT)) (port G (direction INPUT)) (port PD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDE1E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDE1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDC1E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FDC1 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FD21E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FD11E (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port D0 (direction INPUT)) (port EN (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK71 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CD (direction INPUT)) (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FJK61 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port CLK (direction INPUT)) (port J0 (direction INPUT)) (port K0 (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FTI21 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port T0 (direction INPUT)) (port CLK (direction INPUT)) (port CD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FTI31 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port T0 (direction INPUT)) (port CLK (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) (cell FTI41 (cellType GENERIC) (view NetList (viewType NETLIST) (interface (port T0 (direction INPUT)) (port CLK (direction INPUT)) (port CD (direction INPUT)) (port SD (direction INPUT)) (port Q0 (direction OUTPUT))))) ) (library BCD_TO_SEVEN_SEGMENT (ediflevel 0) (technology (numberDefinition)) (cell XINPUT (cellType GENERIC) (view prim (viewType NETLIST) (interface (port Z0 (direction OUTPUT) (property crossref (string "0:0:0:0:0"))) (port XI0 (direction INPUT) (property crossref (string "0:0:0:0:0")))))) (cell XOUTPUT (cellType GENERIC) (view prim (viewType NETLIST) (interface (port XO0 (direction OUTPUT) (property crossref (string "0:0:0:0:0"))) (port A0 (direction INPUT) (property crossref (string "0:0:0:0:0")))))) (cell BCD_TO_SEVEN_SEGMENT (cellType GENERIC) (property file3 (string "c:\ec333_fall2000 digital systems\labs\lab8\counter.isp\bcd7seg.v")) (property file2 (string "c:\isptools\ispsys\generic\verilog\synplify\generic.v")) (property file1 (string "c:\isptools\synplify\lib\cpld\lattice.v")) (property file0 (string "noname")) (view verilog (viewType NETLIST) (interface (port (array (rename A "A[3:0]") 4) (direction INPUT) (property crossref (string "3:3:12:3:12"))) (port (array (rename SEGMENTS "SEGMENTS[6:0]") 7) (direction OUTPUT) (property crossref (string "3:4:13:4:20")))) (contents (instance AZ0Z_0 (viewRef prim (cellRef IB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance AZ0Z_1 (viewRef prim (cellRef IB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance AZ0Z_2 (viewRef prim (cellRef IB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance AZ0Z_3 (viewRef prim (cellRef IB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTSZ0Z_0 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTSZ0Z_1 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTSZ0Z_2 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTSZ0Z_3 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTSZ0Z_4 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTSZ0Z_5 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTSZ0Z_6 (viewRef prim (cellRef OB11 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_7_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_4_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_2_i (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_4_0_and4_1_G_83 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_4_0_G_84 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_7_0_G_85 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_2_i_G_86 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_85_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_90_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_91_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_7_0_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_89_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_86_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_6_0_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_87_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_4_0_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_81_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_84_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_82_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_N_83_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_1_0_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_4_0_and4_1 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance N_92_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_76_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_74_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_72_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_71_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_70_i (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_2_i_and4_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_2_i_and4_1 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_3_i_and4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_4_0_and4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_4_0_and4_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_5_0_and4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_6_0_and4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_7_0_and4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_7_0_and4_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance A_i_1 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance A_i_0 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance A_i_2 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance A_i_3 (viewRef prim (cellRef INV (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_65 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_68 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_69 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_1_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_3_i (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_6_0 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_70 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_71 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_72 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_74 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_75 (viewRef prim (cellRef MUX2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance G_76 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (instance SEGMENTS_15_G_2_i_and4 (viewRef prim (cellRef AND2 (libraryRef lattice))) (property crossref (string "3:7:0:7:3"))) (net N_72 (joined (portRef ZN0 (instanceRef G_70_i)) (portRef S0 (instanceRef G_75)) (portRef A0 (instanceRef SEGMENTS_15_G_4_0_and4_0)))) (net N_73 (joined (portRef ZN0 (instanceRef G_71_i)) (portRef A1 (instanceRef SEGMENTS_15_G_2_i_and4)) (portRef A1 (instanceRef G_76)) (portRef A1 (instanceRef G_75)))) (net N_74 (joined (portRef ZN0 (instanceRef G_72_i)) (portRef A0 (instanceRef SEGMENTS_15_G_4_0_and4)))) (net N_76 (joined (portRef ZN0 (instanceRef G_74_i)) (portRef A0 (instanceRef SEGMENTS_15_G_6_0_and4)))) (net N_77 (joined (portRef Z0 (instanceRef G_75)) (portRef A0 (instanceRef SEGMENTS_15_G_1_0)))) (net N_78 (joined (portRef ZN0 (instanceRef G_76_i)) (portRef A1 (instanceRef SEGMENTS_15_G_5_0_and4)))) (net N_81 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_2_i_and4)) (portRef A0 (instanceRef SEGMENTS_15_N_81_i)))) (net N_82 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_2_i_and4_0)) (portRef A0 (instanceRef SEGMENTS_15_N_82_i)))) (net N_83 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_2_i_and4_1)) (portRef A0 (instanceRef SEGMENTS_15_N_83_i)))) (net N_84 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_3_i_and4)) (portRef A0 (instanceRef SEGMENTS_15_N_84_i)))) (net N_85 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_4_0_and4)) (portRef A0 (instanceRef SEGMENTS_15_N_85_i)))) (net N_86 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_4_0_and4_0)) (portRef A0 (instanceRef SEGMENTS_15_N_86_i)))) (net N_87 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_4_0_and4_1)) (portRef A0 (instanceRef SEGMENTS_15_N_87_i)))) (net N_89 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_6_0_and4)) (portRef A0 (instanceRef SEGMENTS_15_N_89_i)))) (net N_90 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_7_0_and4)) (portRef A0 (instanceRef SEGMENTS_15_N_90_i)))) (net N_91 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_7_0_and4_0)) (portRef A0 (instanceRef SEGMENTS_15_N_91_i)))) (net N_92 (joined (portRef Z0 (instanceRef G_65)) (portRef A1 (instanceRef SEGMENTS_15_G_4_0_and4)) (portRef A0 (instanceRef N_92_i)))) (net N_95 (joined (portRef Z0 (instanceRef G_68)) (portRef A1 (instanceRef SEGMENTS_15_G_7_0_and4_0)) (portRef A1 (instanceRef SEGMENTS_15_G_6_0_and4)))) (net N_96 (joined (portRef Z0 (instanceRef G_69)) (portRef A1 (instanceRef SEGMENTS_15_G_3_i_and4)) (portRef A1 (instanceRef SEGMENTS_15_G_2_i_and4_1)))) (net A_i_0_1 (joined (portRef ZN0 (instanceRef A_i_1)) (portRef A0 (instanceRef G_71)) (portRef A0 (instanceRef SEGMENTS_15_G_7_0_and4_0)) (portRef A0 (instanceRef SEGMENTS_15_G_2_i_and4_0)) (portRef A1 (instanceRef SEGMENTS_15_G_4_0_and4_1_G_83)))) (net A_i_0_0 (joined (portRef ZN0 (instanceRef A_i_0)) (portRef A1 (instanceRef G_69)) (portRef A0 (instanceRef SEGMENTS_15_G_5_0_and4)))) (net A_i_0_2 (joined (portRef ZN0 (instanceRef A_i_2)) (portRef A0 (instanceRef G_75)) (portRef A1 (instanceRef G_71)) (portRef A0 (instanceRef SEGMENTS_15_G_3_i_and4)))) (net A_i_0_3 (joined (portRef ZN0 (instanceRef A_i_3)) (portRef A0 (instanceRef G_70)) (portRef A1 (instanceRef G_68)) (portRef A1 (instanceRef G_65)))) (net A_c_0 (joined (portRef Z0 (instanceRef AZ0Z_0)) (portRef A0 (instanceRef G_74)) (portRef A0 (instanceRef G_72)) (portRef A1 (instanceRef G_70)) (portRef A0 (instanceRef A_i_0)))) (net A_0 (joined (portRef (member A 3)) (portRef XI0 (instanceRef AZ0Z_0)))) (net A_c_1 (joined (portRef Z0 (instanceRef AZ0Z_1)) (portRef A1 (instanceRef G_74)) (portRef A0 (instanceRef G_69)) (portRef A0 (instanceRef G_65)) (portRef A0 (instanceRef A_i_1)))) (net A_1 (joined (portRef (member A 2)) (portRef XI0 (instanceRef AZ0Z_1)))) (net A_c_2 (joined (portRef Z0 (instanceRef AZ0Z_2)) (portRef A1 (instanceRef G_72)) (portRef A0 (instanceRef G_68)) (portRef A0 (instanceRef A_i_2)) (portRef A0 (instanceRef SEGMENTS_15_G_2_i_and4_1)) (portRef A0 (instanceRef SEGMENTS_15_G_4_0_and4_1_G_83)))) (net A_2 (joined (portRef (member A 1)) (portRef XI0 (instanceRef AZ0Z_2)))) (net A_c_3 (joined (portRef Z0 (instanceRef AZ0Z_3)) (portRef A0 (instanceRef SEGMENTS_15_G_2_i_and4)) (portRef A0 (instanceRef A_i_3)) (portRef A0 (instanceRef SEGMENTS_15_G_7_0_and4)))) (net A_3 (joined (portRef (member A 0)) (portRef XI0 (instanceRef AZ0Z_3)))) (net SEGMENTS_15_c_0 (joined (portRef ZN0 (instanceRef SEGMENTS_15_G_1_0_i)) (portRef A0 (instanceRef SEGMENTSZ0Z_0)))) (net SEGMENTS_0 (joined (portRef XO0 (instanceRef SEGMENTSZ0Z_0)) (portRef (member SEGMENTS 6)))) (net SEGMENTS_1 (joined (portRef XO0 (instanceRef SEGMENTSZ0Z_1)) (portRef (member SEGMENTS 5)))) (net SEGMENTS_2 (joined (portRef XO0 (instanceRef SEGMENTSZ0Z_2)) (portRef (member SEGMENTS 4)))) (net SEGMENTS_15_c_3 (joined (portRef ZN0 (instanceRef SEGMENTS_15_G_4_0_i)) (portRef A0 (instanceRef SEGMENTSZ0Z_3)))) (net SEGMENTS_3 (joined (portRef XO0 (instanceRef SEGMENTSZ0Z_3)) (portRef (member SEGMENTS 3)))) (net SEGMENTS_15_c_4 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_5_0_and4)) (portRef A0 (instanceRef SEGMENTSZ0Z_4)))) (net SEGMENTS_4 (joined (portRef XO0 (instanceRef SEGMENTSZ0Z_4)) (portRef (member SEGMENTS 2)))) (net SEGMENTS_15_c_5 (joined (portRef ZN0 (instanceRef SEGMENTS_15_G_6_0_i)) (portRef A0 (instanceRef SEGMENTSZ0Z_5)))) (net SEGMENTS_5 (joined (portRef XO0 (instanceRef SEGMENTSZ0Z_5)) (portRef (member SEGMENTS 1)))) (net SEGMENTS_15_c_6 (joined (portRef ZN0 (instanceRef SEGMENTS_15_G_7_0_i)) (portRef A0 (instanceRef SEGMENTSZ0Z_6)))) (net SEGMENTS_6 (joined (portRef XO0 (instanceRef SEGMENTSZ0Z_6)) (portRef (member SEGMENTS 0)))) (net N_92_iZ0 (joined (portRef ZN0 (instanceRef N_92_i)) (portRef A0 (instanceRef G_76)) (portRef A1 (instanceRef SEGMENTS_15_G_1_0)))) (net N_97 (joined (portRef Z0 (instanceRef G_76)) (portRef A0 (instanceRef G_76_i)))) (net N_98 (joined (portRef Z0 (instanceRef G_74)) (portRef A0 (instanceRef G_74_i)))) (net N_85_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_85_i)) (portRef A0 (instanceRef SEGMENTS_15_G_4_0)) (portRef A0 (instanceRef SEGMENTS_15_G_7_0)))) (net N_90_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_90_i)) (portRef A0 (instanceRef SEGMENTS_15_G_7_0_G_85)))) (net N_91_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_91_i)) (portRef A1 (instanceRef SEGMENTS_15_G_7_0_G_85)))) (net N_102 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_7_0)) (portRef A0 (instanceRef SEGMENTS_15_G_7_0_i)))) (net N_89_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_89_i)) (portRef A0 (instanceRef SEGMENTS_15_G_6_0)))) (net N_86_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_86_i)) (portRef A1 (instanceRef SEGMENTS_15_G_6_0)) (portRef A0 (instanceRef SEGMENTS_15_G_4_0_G_84)))) (net N_103 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_6_0)) (portRef A0 (instanceRef SEGMENTS_15_G_6_0_i)))) (net N_87_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_87_i)) (portRef A1 (instanceRef SEGMENTS_15_G_4_0_G_84)))) (net N_104 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_4_0)) (portRef A0 (instanceRef SEGMENTS_15_G_4_0_i)))) (net N_81_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_81_i)) (portRef A0 (instanceRef SEGMENTS_15_G_3_i)) (portRef A0 (instanceRef SEGMENTS_15_G_2_i)))) (net N_84_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_84_i)) (portRef A1 (instanceRef SEGMENTS_15_G_3_i)))) (net N_82_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_82_i)) (portRef A0 (instanceRef SEGMENTS_15_G_2_i_G_86)))) (net N_83_i (joined (portRef ZN0 (instanceRef SEGMENTS_15_N_83_i)) (portRef A1 (instanceRef SEGMENTS_15_G_2_i_G_86)))) (net N_107 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_1_0)) (portRef A0 (instanceRef SEGMENTS_15_G_1_0_i)))) (net N_65_i_0_c (joined (portRef Z0 (instanceRef SEGMENTS_15_G_2_i)) (portRef A0 (instanceRef SEGMENTSZ0Z_1)))) (net N_67_i_0_c (joined (portRef Z0 (instanceRef SEGMENTS_15_G_3_i)) (portRef A0 (instanceRef SEGMENTSZ0Z_2)))) (net N_73_i (joined (portRef Z0 (instanceRef G_71)) (portRef A1 (instanceRef SEGMENTS_15_G_7_0_and4)) (portRef A1 (instanceRef SEGMENTS_15_G_4_0_and4_0)) (portRef A0 (instanceRef G_71_i)))) (net N_72_i (joined (portRef Z0 (instanceRef G_70)) (portRef A0 (instanceRef G_70_i)) (portRef A0 (instanceRef SEGMENTS_15_G_4_0_and4_1)))) (net N_74_i (joined (portRef Z0 (instanceRef G_72)) (portRef A1 (instanceRef SEGMENTS_15_G_2_i_and4_0)) (portRef A0 (instanceRef G_72_i)))) (net N_112 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_4_0_and4_1_G_83)) (portRef A1 (instanceRef SEGMENTS_15_G_4_0_and4_1)))) (net N_113 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_4_0_G_84)) (portRef A1 (instanceRef SEGMENTS_15_G_4_0)))) (net N_114 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_7_0_G_85)) (portRef A1 (instanceRef SEGMENTS_15_G_7_0)))) (net N_115 (joined (portRef Z0 (instanceRef SEGMENTS_15_G_2_i_G_86)) (portRef A1 (instanceRef SEGMENTS_15_G_2_i)))) (net GND (joined)) (net VCC (joined))))) ) (design BCD_TO_SEVEN_SEGMENT (cellRef BCD_TO_SEVEN_SEGMENT (libraryRef BCD_TO_SEVEN_SEGMENT))))