// File name : dff // D flip-flop with reset module D_Flip_Flop(D,Q,RESET,CLOCK) ; // D-ff with reset input D, RESET, CLOCK; output Q; reg Q; always @ (posedge CLOCK or posedge RESET) if(RESET==1) Q = 0; else Q = D; endmodule